remove boilerplate

This commit is contained in:
Robert Sheldon 2018-07-04 21:49:02 -04:00
parent dc9b2a5aa6
commit bbcb44feca
1 changed files with 1 additions and 9 deletions

View File

@ -13,12 +13,4 @@ include <src/key_profiles.scad>
include <src/key_types.scad>
include <src/key_transformations.scad>
//$has_brim=true;
$inverted_dish = true;
$key_length = 6;
dcs_row(3) {
$dish_type = "sideways cylindrical";
key();
}
key();