From 8a186187fb4c5375711c98a35f0b546e2bcc0f6e Mon Sep 17 00:00:00 2001 From: jameswood Date: Wed, 8 Mar 2017 17:06:29 +1100 Subject: [PATCH] added TCAS warning --- EN-Taranis-Daniel.csv | 2 +- README.md | 6 +++--- SOUNDS/en/tcas.wav | Bin 0 -> 111318 bytes Taranis-Voicebot.sh | 18 ++++++++++-------- 4 files changed, 14 insertions(+), 12 deletions(-) create mode 100644 SOUNDS/en/tcas.wav diff --git a/EN-Taranis-Daniel.csv b/EN-Taranis-Daniel.csv index f74ef63..ccf7124 100755 --- a/EN-Taranis-Daniel.csv +++ b/EN-Taranis-Daniel.csv @@ -245,4 +245,4 @@ SOUNDS/en;disarm.wav;dis armed SOUNDS/en;slflvl.wav;self-levelling SOUNDS/en;acro.wav;oh god crash mode SOUNDS/en;slflv.wav;safe nice mode - ahh -SOUNDS/en;tcas.wav;Terrain! Pull up! \ No newline at end of file +SOUNDS/en;tcas.wav;Pull up: terrain! diff --git a/README.md b/README.md index 0748b47..d8603a8 100644 --- a/README.md +++ b/README.md @@ -2,9 +2,9 @@ A Mac shell script to generate voice files for the Taranis series of RC radio control systems. # Simple Instructions (or if you're not on a mac) -1. Click the *Clone or Download* button. -1. Click *Download Zip*. -1. Copy the SOUNDS folder to your Taranis SD card. +1. Click the **Clone or Download** button. +1. Click **Download Zip**. +1. Copy the **SOUNDS** folder to your Taranis SD card. 1. [This is a great tutorial on setting up Taranis sounds](https://oscarliang.com/taranis-play-sound-track-mp3-files/). # Customisation Instructions diff --git a/SOUNDS/en/tcas.wav b/SOUNDS/en/tcas.wav new file mode 100644 index 0000000000000000000000000000000000000000..0627b2f8a21adac1122ae04f42129aa88a658896 GIT binary patch literal 111318 zcmeFYb#xTj*C<-m?nzs^J4tuk-4hZZgamhYhrxXq+ya9S?(QxjXc9t*0D&mPwWICs zR`n{(H}`k%_r0~=`}eLjwO1Y8wa+=b_R+o1gyBPmRJ;U%se`6uELpYD(-{B&5CLEZ z60iaQ3UCI7j2bne%n6DA`~1s+e>w0k2ma;2za03N1OIa1Uk?1sfqyyhF9-hRz`q>$ zmjnM-abVH>jq~enA^ZIQD<2qn{?Cg4&y@c&9{~P$jDNzvPCEV@;y-!+B=^Pp9y@G> zZ3tLk6Rd}|uo_mta^zLQDp>O?u0?VIz=60B;`h}M1Rx~b_x$ZT5Gsye;r~!~ASr)C z-&g;6U?lawxALD{G=M=)X8KNN`rLo8U>F3@zf$^2?)x5sQAkQ(xbIK@U6=pq_T}}} z@Sl48fBAj&{C~&pYhB;--zh*yyDh)?(wEa$gTMLl3;CDRSA&0Y{?6~i_`6<6_5Oc8 zeXZy#%lf~-j!^l#wEsy0{Kdb&dHA1jU%U_cxYFmN0W5$+-afaFml%M601okS01+So zc*H0CmrFoW`|^puu*tuCJmU6Y_fh!!8~m@>-)Vic{!ah91PoFF8o~c3t*-`sCHH;* zpVIou$0KDEf0acNL4Ccp zujkp2w@(_ZNV%q8@@PTo@SmC(e@RVWiUBqw7)HdkB6xkZ?W=1a82+p0OOd{>{51l| z;a<2M?tr`CR=5cXwZb)UB?8~!FYs5m3@(L>;9?|P2)~Elz&UUpoQuE*_#*-ZNM0Y- zXZRcZ6Rw5Jk#ITkZ5aYp2$UmK`k)N1K;RpK`x&A49eGO-dIbm+BD6pKqLq)(fBTDm z4*U**zLIj_*GO6)-fKAfS86umzemb{4L^sokovqu;xCYJA3TE}!w=wl@NM`yd=0({ z-$LN-ulRlVDU$mHd7r|M5Uf7z7w}62o+0r^ztW!})ZQbh50U)G2yPaV+lP~d&ECTIk=!gKB^$x%3uPfCKm1kda|Giq(w6T?+HIsQ z-w>QE#Lq|k#|Z8Ng!*fwW)BeTH;8{5dHdR#i%`o!IQkMvsYkxrh44xXPeI~GK|8nz z_yq3<&I10xNMHn@gXutjq>s=5S3nHA0D}P`j0Tni1<2U$jT(nOiB@6aFw0Q;a3@I> zm~3DWVFCF)>M;0%GM-WAw8Yt)zL5M1`wcrE?~CW-T(N5~iI`Uy0&WqGgR94*2tF7W ztdWeN?j}8@B`_~AvY6kPPpE8CD={2>-geNIWz8|%*Ei|=sk0URwO5RJhHW~%zT5J^ z-e}FXjs%RDW2mptelQ7Fg+2yN0e)b%qQ*LYvnSczEpv@6h798a({am2>v7vihzMBW zCe$s$4iZebK{8T`$)m~rDGN!}#14u#ITz!J>4)cH&wz7Kp{Q|Cgd-0g4WF>1pjn_l zI2dpTy-+o{1SdbnTIV;+VH_>Jmh^@AoUjyq8MH!O(igdq){`4C-+-I#$|`TOOHgMlU1N5MUT-ykNMbEz(f+ z(+n33FHJM82W?tgracCV2JfNWv5~l2SOF#gvjF3SPDV{dEeChNLmUfiQtMf3n8n9Z zXI*HUXd7=Yv4`8K_RaSGjsehnC>C}H1|k1%JWvX^LQZf2l!{EmuPtRpo@uUmzHx_P zy!o@a*C5f)H>@|b8@HNAn>)-SY+}2g!{CSqATS2(gm(ki05>=k-T+L3-`T~sB>Q`7 zugT98Y3?zdFr`}tS%a))wi^3Ko80~v>;vD0HUoD6GkgK81NR`kW(;@{9&4XwTVxw) zoo#+@n5x~Yexx~}Il}Ycz;Gh%dwE;fCQK;I+6K z93E#vFF}jZcTj-<6Iy65vbEXWp~(;mo(`k}Ct*Ig08PiFVNc<41TOvv-jk4rE5kTr ziqU%1K9m*p6154O3qoK!_!Ko1Q;42`T83&ysn7>-g?KOgR79@VVe2r(n4{=BXgy{! zW-U4Za|a!U@1a7=X+Kt~;k_ISq>hr;d*IYSAK ze8>v>!h8USdI9W$9z)lmLVL4qr(-*O8n!~akTEO*8I$vX3Pcu`fSW0(=#bwGZ$U;5VQhs)GW7@9;>74tFDS><0(SQQf0;5o4K|M4O+72-s zCv6LDi)}Y;{`PtHwT>iYZZg2pUHQ?&7vFK3r zHZ&I8kMNpr=h!aVAnPoP-Ll6@BD z1T%pi*a=8SWcV!j8f*YY0gJ#I&;pjDGcc(rXGE@vs0Y9!a387#>_&R%IOs2EG<*^| z?AQibke*-asE5vh3(>Xc(dcuiV6+0=h4MxXM-M`~gKh9kxXe*&U+$1NhCmHa5hQ_h z$m-@4JPe_~8B>I=MIA-)(Q{CffvG@$un`%R9Z(QNbewdILnI~0aSlr!TIoE`+4hB3*MyC zcj(=WCrro9hs_P<57x_evLo1W+HnQ>qXF;?pc$@$7|1H49?EbO+20{@cF=y@oNPK` zskFYfY_`-`Zkc7KijkkYZj>Fp#0yhBTfXDDE;1uYMdJGN%cENXmC{#G=EI1Ao zhL(d$WL=qz^ldpfA2k$_jMJ!tXaf2#6o{$9W+B@GA?iAM2Ie+O23$vJG0QPq&}o=L zOn;0QrUT=Q+lpI_rDM}@ez;c5G#rt1hy0GjB{h-0lCP1Y$onWLr(UPqG*{|1%53Uo z+I^Z6RZT9SJfJ?Jj3qnBxzuRdAu5MTpt@0`5LZSCrLLj&Qt#4&X^SZpWPeHrX*{uw zIF$4o;UsPxZU~NolVS&9w_{FVPGWYV*P|Aqo}*IHYcK_vG3ec>1?Vy85L7PeBl;*R z20R2(KsnUpI0E&9jSv%<#)`Qkc%Lj9t+1C)O)=36HitYwOp(xh=UZslBd!QTzC|k*!l( zS0J&I?S8@u!oc0tzXNCsnZtDSvaS7R_n|K zGpRGRGe^wnH|NF_;_&k+4`a(Cv!i0;T;dnT4v#(`y3XgWM~vURFm&|PnENr>n5{84 zqS7NzM)6~NVpfHp_oeb?(GqapfWuOvd#^kze$*COGoxZud3||!#fpl}m0mw0>x@k* zVYKuQlNHlVeZXksWO^J7conugIxS&gGO52L`D)UG1n>CivDH!haAwdmABUjDbq;3% z`y4BenZ@vAq%y3G0M<$NEY25RhWi)3o4~@a=bz^T{I71Od1GB785^lH2;pcF)M$RD zD^Yq&-}jVuTx=WDB51ZZ?QP!JlGRFWuM%GF`c3q^EKT)UD>D3H&bEr}UC>-$F8CfC z00trRHp#KrMzU(n{^pIQIO7UETlYolq3hAjH}p51G0(BgwEkf;+8nkZd%FFC?SO@B zT&X>;N|FC5B6Y23AKyH^&Qvw?`_0dfijI8R_ObZG=Ddfw>A9kuv$@;z3qNs-P8QV` zkN6z*CGcxO>6Y&SW&6KB|JG1C=gZ8Z_JV5#4f)|YX>VSCc{XRGhag~Q`0BXJ ziCJ+)5g8%gLBWB)2k8Auypsf}yh0X=5yr5vj&Whv9=B!gkN9^z=6Ij*mxOGI)I}eU zxfA^;vLXCRSYF8Bz#~3C_@7*gXe_)hFvn7$?UFALZSBxDqZ@YBQfh|PWYpC+^lNTu z9n-O|XSKAydbP3L_6H!ts0pvhJE%t5c<0S@A-&G|DJ_b!jrbUM60-;0h=RaUUUZs%k6xZ`O5X#(uddY>+asa^V|LSr$e*SUY*Y=F1lG!-JWS$PM+!#?MV-BN%}C5 zJ*06$(1O&JbJo8o#V(Etuzu1cIsu^VfW{baXsf&$v^Ft?EA*AB4|>?;>gsnnIV{v3xT_R zhk1SE59EkwZFoIcWF4kUS9(YvbrrT0HteX2t$FhEZtc!`?}jstl9t#Gu=lAY@xGm|lr{*>-bZ=qf$MG+hElW;R|3-K!aI>LA&k2H^5 zKt1Aoo+)*aaM3&;-YOoQyUgX3%X2oCzJ$C6w*jV@9;q{A+1+#7W;fWX{J&{Rte<>7 zEXg^RvovSPJHwmb-pO)y=RV54nm@YmRiUk5b-}ZOyB|O25#A4d6a4yn_PQ*`^GDA= zJ|B@aH#_`I&ZqqP@sbF2x%Dl@!XCly=l(L{etP>PaQ>F1Zw=8_kv=Y-CjLX$W9+%kD$+@`!6q_RXvZl+#kaZ- z2uqrWG=?+=G_o6MP4}C_TQzMrIyAEsH>>X)Z3JSlsNJh!bi*^ zaG%3u8l^2(REeuPSG8s~Z2hsOY|2-kl7vrDAAp=c-_CiRlzk&BJ4^QRuWYAm{L8ml zm$C!i4#=T==wHbEjw~x%r0oNHV1>jm)pEu567_-B&70x5&bKy*6qy>cBpMT~h&!D8 zWq@vARciboN$RRpdg}YsWrJ4_SvmwiICkKdlm*G*{SGHSi9ZwfDt2g;D2VFi~XG~jq(~-K2>VajQUyD9#OTs_T{EYiDskHLDx@>AiclGzW)6K8i zSzW2UJgK`_VdHM>V2C1bOC#Vlf#}wyoj0> zgNxI|$0Xts=Oj!{a7|bmcRkt=VF?=?dOIk?Z>Z;Q?gMx!>{HG=DN~78aSPE-aE{ex zT5f!(uht&XoK4EWdyrjC9>yOVgsa6p!`YBS-xug@;5_JtZItDpVY%8}K2N-( zE2!=F#$7ebD@T0?znu6)`4FG8;7!wugHLupUiz%$HU8tNk_)AY74xb!l{+dn|2))| zA}_PdKxm?*(z5L@BM4?^88t^Npiy zc|amAhGd}p=1gUO;{k$7uYP`u0*gb2MR1}ivDUax36Y7kgcWf?v7=&=qUS}nhGih5 z9LevD=Lz@6JSwL@Yd$@cc9j%~uR>o1=0SG*0b9Q1ooR(3S$A0DP_0z1Qt0LV<%zNp z(lrvTI71?rER*`lqUARE7KOJmQ1w_{q3v&2VM?;(TDxp`M<(^bgS$BF}(8Zw@)RXKDB+=@*a4z>`hGGM=V!C52v`{9>LA# zTbf6*RpNl&yPcZ03oTcgz=qLv;r02Al$OJ-liR%8PPHy<>lF5O9TP2+-&ZrVUo;!E zS^AqMjrA4u47C8afcS}AL4D>#XIx|_@jBg33Oc>U_`3LQ@*C|xJm7P{>Hvv9F`&o4 z!*_?*PC<{`1ulji#<=2ihw_kk3sHD1fo1kVwxbrQ$=&2{BA8|y#~L@8{xpM@Wa|=p zICKNP430yWqbV2>=1=Ty>}TvBxTUyo>`62hl>%^}FgwLI+$uMJGyb8E)?(CSlQpUkHm+h>=<-8eNuY)pv-~yQ$z_Z zvG?N-Cq*S$;wQxcvDRop%8;eI3PcLaL0ZUF5`+h!E%B_h1>D$=RPVKFcW~h?&U6xW{ zG+{5zlevns-)*1Zgs0AXwEwZ7dm*?mY1o%A@38%$-N@`@^WTEZMv>m4Qv#069iV+;EScdPqgL7ZT+ zV3}YlALs7twv5-`ILjcKIUmH#+f ze*CMcIG|v0j^EpqSA(B6+7}$A6K@%=({D|Az8j#t(NN;XQ2au$#l-k#om4O!l6v9CvOcIU{Sp;$&I!{Q*M< z)eXuXI6L`%96$1KC_3bDFf+s+av^w+-&?^N?m@;{3V^?ls&X7K#b^}Lqn*Nrjnym5 zj(-_lR92wR|CaYL$M-$?o%1{Iob&m|ii5v5{@C1{(RD;ps}h)=K)E=8{?$Fie|ZQu zLLGf9?nk_H((9DP13wPT9?+GtIEfmY6PR<7Qh^Te@&o$GJ{T z7o{83wYKYH?%F2uB)&_$_2Wy0Q{ofU1*8mIn@F5mq1_u{i3 z`8oSuM`vw$y!P(Go13p)zVzsv&l%mxtH*a9|LfF*bI})%TyeSi;x6-X)~m*%)3q(V z38tA?4oyPe!Kw1O8iP&yJ~nXn%SEpij-R`CR=~_bGtbQNS-57QZ1$1KGe`b0&?A0I zOiJ8{#Bs^DQf?&0M5hKtdbbKDc&NPt122b!1~2zv@Sae=qK`ZF*r+y>`MjR1$Pf;x z;uitA@7}0iuY8;NuInx5HTwnr@v4X8pSrw>{Pesmt>I&*MRH!r&|~etVWXWh_@{%z zVVm-{6H>|ndWqd zDxiIF8cTmg&vf2L^&-B&q<}e)4LMPYv9+5Q>(?m7qO6Xn*2~QkTBf#+X*D!QH!iMS z@?+MIeYK~Wo(ergZ23gxN>!I8)VR`?2`(fw(>j?=oH)0m{3#xh-a-C*gIDO8kJG0@7>?b*JRFL>XNd z+CDapuW_xo_2pZ^r}yBin&&Q0Xb)5F8}5v}NxFu*vghKgb4_O!pRGRYajxLPgsZ(b zUf)i9@aSoEme-rJAAG*X*EDw=*1QLIIl1s=dW8Gcg`(ou4O}%ma1wF0WnS>Sx3fB? zdQ5ydu3=p2_;KR`#-xlGIyk(aQ}pZ5@}LtzBSPyUjz$4d>EV$<8+@WYgzm-eKLvL@ z>pZ5pr@5S`3NR>pobj1fp>mct_Kp{ZG`Lp{_~!jNu4r~4x+tjVO5vEosKS+ntBb3@ zKB~A=ThprRDV2ZKMwvg_kAQXfI@);lVmGqaNIz46A?R*MO=wq`UqnsB@CaL2Oz7F5 zeg2`o67OK|(_Vb9Bc8iGTKVm6Cf*<1sho{2PuXp(a%MO~iIq(|b=#QWR>nhrZeP#?ozP0YT^` zxI&_q;_v*Kxt_Di^|kv=ftP20ugTtfe17*O`%U&6<=5)V^X2^ef&h1x>u;Pr ztYZ2b8lQXz{~P8SFx$S#T&k~8Tjld4lX~<*M~hD*rFKd6;)=cB(WOyeQc8*n_ZK|R zKa&@dGxFV-x8vTNdZm3i=jG=t!i%hDub+2h`DSl@b>wYb&b2&oepKBeG*}$`Eu=hjb=bKuZKzv_XW%B^$DSyDDo@8Ma;hUfLI*nd z#`CHo=>*Zt?vWj3t(2yfb@ZPrs>~JFDmGSDRFi7M8p4~y+Z4jPU7furrBjpxw5yC2 zRsr0FYQ(M}V9Beghn&vRH!)jS&Flj%_g$vA=-B7kiEIixg1wl%g}s@*k&VnytcffQ z^Coj7lfV=*au^2~k&LtS2hOXUG}I_cJZUW9K2DACLq7+H0Xv|N_UX26%O>+5rpv}> z24}-leTcqI=c>=tx9T?-rWzwnDpRMKVa>1|vQrS90|;J3?ZAw{sqh8F8)O=lOG|Rv z=Ddv_z-VIJVlr4ZW;SyTlfpdCaAh2&=Q$TRU8M1;Q^=Qzu7nr3!B{Oi7c~nkf&-z` z_5$k@^A+O-{c`On^-ZORB3(99a!0hU=X+St zJVTqyyz0`$jdt~Roy@z>UBrFD-N;+v8swJlR^YnRb(Gr|_jrNQW2^T_zpVi}WM2vg zzX`#GCWW%X_J?ndm=$p#JU(n?$i|?@0YClL_+)wp^SyY*EKhm}jYp0nbYuIWKfojH zF&2ZNLi>kWpxUNPQ%+SrRqB*j)o4|xYMpw5MyOq(zhFR{o|`Gwc-vNcse^)89fcGMrBm%Gz@B!a~o`}Ap z09A{6jhcZnBk@gOH0T0)fl**TFasP94ne{gPyrMHw}E$n5HYk!LrNHidWB-4ucIR{ zn=tv9C0IW0A#Oc>KOvKtN%}yxQ37bQoenu)q907Ru8M470MpW zc43p)Ev#{@H%tlR7JZ5HYA1i%CCUtP7%7vm2X`GqMqdWKfQL}1W2$Ys<+Ev$aj|}! zcDmZ4m@EsCq>6lchIbWr)U}^#ecJ5YG_K*VI!#T+&(+ntDj$~f%g%kf`t|DPi6t|O zaYdp}1)uy14;QkFN{UVu-z&NP`NEfp-%eKQY7<)T^xTzKtB$K5tLLjRn(6vrYZQnk zcvC~1^XRh~R~SM@B$L8|*sHj|yMA?>?S92Qg&!t}@aXg$?Gx^o;J-E?H;@=~HSls^ zM$nw#{19#E(=ea#NaVB)3T+O(9(q2cKIlq7nePU#7(uQZ&sENS#wljAn0BXClnlZY z%vBJB7^o~n^p;HMx?{gR(H3A0wahb*H*3ugEos(DYnW|;E!P%eUt-^2KWyJ+x7vJd ztE_g*4-0HrWPM^iVO3hBW}!)M^e~<`95h@q%r-RZ=j!#k)4J8VM>@PdRXr zdYuPxCvz`x-ntaBD_A+qH4F;YgAP9%H+gjsVBAeGXr8hP< zm>MVz_)~2`K?DP_a${6y)Qmr&!nXhX#`@n_Re#CmhDMBG>AJx;jAB)C2;MU}7=I-Oz zUG$t}x9OfKeyalM!Q+Da1@#2j{K@_ee)$0}gI|SqhW#1g6rCUI7atVAGQK3fAa;GE zE_6l6h~U7W+IOPfDCe=%Ixn`9XM)tu= z6b9LT8AY~Kc2mJqx2T`0Vf7e|R1Io&X`>PQgY$+F#;3+Pk~`gHEsMk)&9Kv7(g)KYIu|=lrlnEZ zi1+btuubR~6c=0wT!gPd=MifMSKCxe60)Eep^Me@C<%&O*+=OxDP5W>=`X%3n%rB} zb)~~Yc%kiH>!H?pt$SN3ZP~5()+w#2t%R17%}yNsHf|y zOivw)&`$UWLL@#0+lltZ6yhY5`z##qGj}p)EPIHvjZ{OBhQAp-+L0?zo?_;vW-2m}K&{2*_&caonrAU5c?p!&Act+KMPim%vaNsE=L0`cPk<`> zZ0i(rrcq+tVOeCq0j0qk9LKDW&96;4#(l;vQ=lc?tTiz8M(r`}6`kF%*37hGtSzQk z!z*2(7N-r=tuqd^e6(;aSIxDi5`@kY^A$^+HP<@8y579obiq8t+HCWHW&?G=2lzVl z(?NEGAsS0FC`315-eVu(n(*g|^T_8Yr>J{r`Lt=Ym9!&Hf6))HLb+jX2i(uNH@eMr zZQ}0brtyMYr?^r0iGpB3B|nqD&E4(_x_a<-ajvjkSv8DadNCctctQW++~yQa^Cq|B zXJgrD9Owz4f&FkkM1#KAPgs-9LyXCKXYCxdPMNHzkR`}2O0P)5B!eW`;zUtGPjJ`y zj_JbC_VTt{?Hb{X&SPEMyXJO2=?Lry5PAwvbiD2qcct`(is-%jdvA;CdmeVDiJpqD zi40<~G)(fB=!bZs#4Jvhevv+q@T9J?i;`&ZYzaw9lIX=O$pcY<=$P0jZV*3|-js{v zZ{)}2LiupzbL9g?jS|qV)P?G^O1;Tq$QN@1WZmw;gWj9>aYGdhK+(&Ko4?@l6Vx60|8a zIpRP_u(yI|cbULZxSZxp6RZzN3|iyUpMQe8h`EX8M>#>|(DNBjou^SRk|&XWBcX_{ zPaNP^r~!$~HjS(VC-L zim}){&-}(vr+ub6EgLLO7eA1FR$b5-)jsOEsvt$Pw4dyvB1P3-^_y~&qDcNiZdVx8 zjry(T-N?+7V>)lRtYc|q+MUL))`^e|cz}|jKVrC8A@(YM3~>TUNPgT_?=kj_5%q{gVzRhQq!K+A50HMA`6>z&;qqnDLSznzkj+<( z)Nj(~>u`ogx&TeCHb_5S+pFDdIIlmbTW!!9G7SXtQtJ;(i8;j7g#%lA7GKexH7zyP z8k0;|wR>+!_hgA$Im*C-d+{3yhrxX7L*pWyP*-K`MI{s7QSFRc=2`}iwUFb+?dD{; zP8R_D^PGDw=lO|#*uZVUA0ju!9gX_#-^3r}Hq}k!cEJl92F8aa*2l}Ew}!;|+B{m_ z54bsbl=*1Aw+J@!s{{$|S2<~1jQdaCH2PiAXaWm21w9+%O(HlyqqorB5_h6G_WAnt zs(e+AuE+G)l440UmFmpOOzB`rsq71~9wjJl%N|HMVsW=?&k{+nB0*6nGf9t&Q@hn2 zkGn9U7RhuuSy`y)mc>ZxB@wbelvG`_G09wmoFcw8aP@Qaw@qJd#gGF4(dn38Od+DX zAQRV+2T<2iPf|+At>kv9j=s*t&()1TRFLTI$-TnTFlI3CvKzS?xBdc?AX>oTv)n6P zAM@q?_p{nfF*7tZOVgLrRkn=i=fq^HE#C!Kg3j0~jjiIqCvX;`n4` zn4cK5y8gN&+V>hy?GUo z8F!k0nwyOM3<|_@QmL*`f79L8d8yBMNb5u$lDpD(;u1-< z{D>SY-6M7vz3x_aZtI$f$nc^bUhhcpX4zu(DSfnIvw>;suQ@E9&@)Sttj1fcsNJL_ zDuYx2I?SW=T>V{B1~d-0fcBn6*fp6+CZEPKP<2oUl!Xc-wo~e;&negNkKsSeLAq+yOqH)DM)$k^u>O+ni{_ou zOI{+cS7s>1vTo@msam|CcW;lIc&YrI@`v)X@{uA)+Rz)&+fS4riIz*0y{aiHZ)KQb zrXonSO}oap*V1flwHlDQuGkoAl3FqxS;$(ZALb5r0d_R@0xpGchKM42QsOD4G#E@tR z&^PLC=%*VhjiZpg=m?!r^N0FRg}cJ2%u`L!bn8~?#%W7+`Py*RZuNYfSba!E(IJ`% zRf^`do~K)=+h^Wmkr+aa`^}S#3w7>>;U=sx)Ic+xG+#BpF~^!88H0`AjmwN<4Xy^P z!C8Mow@Uv>Pt~2)oYkJwC1?XRvo+gP&WgFpcvZ0MlekRQBNt14Nb=>m@`=)6l2H;^ zJVd-jbV0OK%n<`3XAvT4qVuB9qB8L-nUlIi`&IYGxZC1xysJu3cB%i+tu<4@>4XIG zNKzc`29RL~Y^{#f=pBS{R3k&i-o}2&A@hfMXaxmc3;g6h%RMao`=0T>UICO4ek3Ud z6a6D>nSVb2CpVZ2bC>cD_$P(CM{SBWMqxsa`+OD5;~#Njy3R!A!y&B4Oqi4AD&=Y2 z-nma@w-aiiHuFwHh2f$t2$N6DA=wBA(G#p%?Gg1l)mz1G#b%{JaZRQdm-c%1OzTeV z*(BN_zTDf;^`eW`+b9B&Hc!K)pT>Q~C=(iy$edKA4WVzK0fB2BYPldBeL!;POz zQPwE<17h>mgB?#KlExA_s|FvNiH| zVqted&va3B&xD@2;z^Rn-NV~QwPve9u;pTl za~r++kA~Qm@4^h>qSk_zpRGwPj~m7}8QL0K-ZoN%rXF?YfUY9xarq-jx`u*v;ym&= z5O^-WJ${te7)BWvFF5B;4_uTqIr(_((&#mj9-$Fo*o47pL()GC+%>2;W6q!rshMLo z%-BD@WZLBE#iM%@#J;24es`V0hdpNa<_3X56Fo}lPjO!WG`tY8wj2UowmQrerc2uM zh|E`sB7}mvGZp(v%ZgGzKK$fU3YYV%F%8?=|LQo}KBG0aWnHVVwWQr!WK#Q^wpda2 zH|93o0=>}mho#z{fjvM?cg}Tw&)z2heUJFF!m4AHv8N((LxKb8KEHdqcn|lh48RA9 zeEWOUaC4a7oc)~|oMKruoDb|P&N0+A0L!7LcDqSy_}wr>J5*UEZ_*Hn^eq%0_|2Y;>{rH^ z&l`6cNXB-9UN=fRLDQk~RQk#LNsmcZiqpE!wR^Ton^rgE)(L8Ss#jD}zHcm+6;1te zp+rRnYJ<=%m(`$ z^n29rc8g|kySlQX>R!#qQM=vYXiy;e7;fev!Jeo^{uvY zR8v=vLuIh&zKgXVl-;gjo-Y=ZyQ^Ei00sE8&NLW1T8 zjSM{CLu38@JZm>p4wB8^70X ztGQD9xGuY4R_oNxlFoB2O+OpTwtZgsNm#i2+w(6?ggIj{KLZZ;hNwIUyH{F{%)irjKCYSTK7RXFsQ# z{hc|V(N1rnZ=iFX2hcR+JA`!XG4xgR5R3zz4NiiL)-t23_N;Q9Y@cXA_tB2})}2l3 z8>(s-|8)6z@<)2rgo=pr@G{wV(RXZlTs6MNUOlgR*3XJ6mx{z6aW#{xTWi0xDC#Hw z9M-(Hy}r@X!Vrb`(mL0RPb#iUMo6D)XCgL;pY=D5`GzUlKa~c#P?4?RDO@#GMxxPO zy-|aWrGd<{h|G$Ra9d&(Dz~uXB4+A*NorHpv!kmMkmIl5F`hO2v4R-)4X%S+)toJ? zFlOHg=X*|-V7S*(fiLd_eIRi+7DKp05jam~Y@$~Zz3r2gW!>rR-<$m#8TIpOc|X0X zc304=Of|QgZwe=MhIKi2wYDv5DsOqybzkDGzH54K>#$w7{$*k#=Z!y2;WmlmCU_5b zl)S~Mly!%X35W>W895^AMfjB9a{u9e$pKSCdn0#6Z;1lKLVQ2-k{O3-DU>OsN2CRm zO!6+mYy2?cThbQFD4LM60>1{BY;i``%#StgdaAKp-(P!OF;UdkS=_O-^JRCTn4rki ztTy^s_c;nsS$G%HZt`%-cJfM+naC$KQSgiuwljMZtDU)?uQ z-5fnn!jo{Pa3frfI9Cz*STc$U2iQ+ocqS+PYZXt162IwTbbal(-09RcwR2c!P3PCn zhRzdR^+)D$R6mFv}C5c`$8su0BnQMp(oKO`M4UaQE^epmM>f2xvITsb5m zOU%8Sdb)aIyS_AEZBQVy7Nzdnk0A}l=Agy{b;O49=8^5J4t}Rw_gOL5;71-KSQ^+H zM;vlC{eEm$m}lg|2wqIiK-92~A@c_x8F()#FgiVKM&y7v|0pQFKhGm03Tg)s@truUlLPHBRgR7gmTGPVj1;Xv( zb857)!hFjDnqTR~+SU3BQvfno9)Pa{>ySGi!RQtEuas!#A_mXpDff=+aRK1#9OM&z zHo7&26YYdp2V?xZyq|dadOGo2xGpS=6NyxT)uKj#`S5rL$Eq_n>x1>a`aB&;*I%2a z{vu~fZuLCw+}uI$_|O*EyrW@c-P#&v&E}fAn!vgV4Y!&uwAzGwyE&2~g`Wmz7-KnV z#aY{IeU?vHR4=9sgF^1sRRU|FHpf-u=1l@}PfX?Lbu5E!IgU9npbCYDWwru)oOP1n zs4>UP)E`nm*7|5_r3s?)?ztWFg*V%SS{1E@?cFWso1$8rTOKrzYcsTUwmt9M*%{mV zs6DfX*Kxe%WrwmOxe4F=SvartZ4mA!Wtm!*5v%RcFo6}UJn!Q0 zjsd%ee^1|+)Ia9;$cvFcQq$mfL+%V&I($^dkA!y-Nm17#iv0U~iaf#u0Otzh8TYu4 zE%79w9D#>JVLr=4aq@1LiYZ?Q2;N}qA@q37$sZXfAqzYm>AqQOn&jj@7g@giHDu*NJ z=lM*q*li5=J=e^y^z{yg!wyCq3}Jik;HnuLoO6+T6guK8^gJs=yIEG-d!pw?@5bCl@a=x@z#F7Ncuc$8Q zD{KVxPkaSwDs4DZ&)qJ_^&a83E?{&}Y*1+6kf6$t#?S+ymqJDdO1xIN?q<5ta>yGf z1}cyCJ0*xX5<`YFZGT#Y)`7NhwwYEh(^9QP`2m^34$C*mlVzhsft~MLw>Q0QJlw+R z=dBdy2m`X{+w~F1m zU>)3!-0xcs6d^YkmteMId@-w$8&HktH`u+nySP~VIb0a#GHMaZ3mgNVLvG4lG!HR& z>87Yad6GO_j+fYb4~k~=CUhlqUhlfo{h%A@nTG5QKZ(C1r%}Q3oraWs*1*BoCrf1d9~!CKxv z&MMAAZnNuVh=AAb7&l-BHO zoY0Xixv93A#sInam9zyc7fz}Ri520zi?j;og{#4rlO#@!EDd`+6X(=Je2Y!N*5Pd= zrc)6MM$V}H-G1^PdwlWe=aJ{`&dGP)MjnVu24kQQ`w#O|{S9@8)Y#e5ysEyYrsZdS zjiT;BzL;~zQpw?Z!qs1PtRlWLR?(w3yD~OZSK{EsP4&S zvS+gG(rWP<(aK)8-mKogL=I7dXtJoiSJN9No+P8G2O@iwaN{t8Nw;2S(o@W}w#7gr zW-dL6 zALzQ4UE{o-nnU`E&&0@q9%O$w+;Bzrr!HHUtP9unsIydGlxLNC)pc#D9z=AjPt1$W zZ%hYGH;jOxOSeP2UJa=XFJut_BYlvl)$>8+Y@b+wA9LMt`OMe41pEX8WY0Y!+ST(M6zL-SC##CY0#*LuUz1^kKLioJ&G z!mY#&!!E|$!1Q1$Ft4zoxc`T}uZ)f=>DsMht?6{!2ogwe4-D?^gFA!!;0(^70}SpA zt^=OtHhr_Ns9zRebtyFa^RPMO?_@F%dj{yFEfk7ia% ztCzAUMW4D8^C@#u=A^xarQ9-=Nn4pdE!`*GH?wK3)p<%j!?{cYeD4J93;QEHtk|Lw zj*<%__m=KezE!0|m3CB$ucVe=ANgys;h{JDwwn!l8-Ia2z-`59mHsBPS1IpH-bt2r z*71Hu|M`A918M|?1l07)@V)On-Jmk%#qQbGWXsoS?_0lp`gUb}i}=m&8hxzsxx?2j z3DZ;FX8f6p-PcM#;k`M*M+qzvcB;t1A}zxrgX;u@_%HG6?DvoV#=xP0>;13!-m;Fg zWSHINfnL9Q6|O(#Otn+PCLd1t!)o; z_vDCK%`O6zCK6tADmJxpH>3A)4lUhIBv&OB$rxiZ2wU3*FJT!ipO=hpMaCMJtH%$#akYC2=I8k-nD8^@Vc(?N5jSBkl;Su-s(=a~I1 z!>z}BB7Hjgbn*S{6KBn|&bH36Tr_j0D18EO1V*v*wS~$qX{759JFx}luFH02AIn*g z!{s*5`;_;Gt-fO;R;f%CFH1zul-mIhAX^=Uz00q(V%lUiRsJsBm41@iN`Z2eJXyMh z-NCJ7tGrQuAWxOsU=Md`d8X`=)377HlzdgHAf`C?IeZ+FE!sBMcGDJa&vV4Pb7YBR z2xUz-z5cPRv3&4qZk}W)r)w@)_|fcrCYNketH_7lEA7*A`ezl#+}*3J^0rT|JIZ!; zi*B0nn5nx-HVUTK#%`uV<`G^uy^5oLrwv_oHqM{ilJ`i(r9dfBo~`v~c5qvDvklpX zamKgCNaJn&@4DhbJ+32j8GCC#DOKRJt0h$yU%47Ni#by5>*4?RmJX;x*r!5mLl@&( zW2(WZKhJ+;n=>wLjW$<%ioK92a#d-y%g<30R^y)B8+jS_8?G!VUMnQ1jjPhTJeMIR=u!uHi*B-4;A)ful*Um zI6s`b&U&+@nc?Iv-oB>Tl~{6rnMm(NI0oO9+JPYCh1S65!;^Cb4B?+d>&T~>v~3LRTXckuY0%aFIN$)K-q~| z=NP54HiU4j58pzFL>@nw`glF}uHxI+ucqH+-?2W7_jvO#<7oX5p*An@e{uh?8q<~W zA?MU;Y83YGw^I*c=kw2OGwwQQcAmYBGJRG%Dn4?inCqVD?(5EUMYx7SFR!zow5_q3 z?Kkb`9FLt3Tu0m+#VOds{!pHcz2KG9D(a8wG<7}p?Wbw)$uR7M9?$f}DkvRu4K>Oj zYk?245BMmRu;Z-=cKzGrIBAVI(f!?Z%k`%##dY4@MrznhfbBODaYl3^LctBc%bzHgX2kkM?A*!+^xUXDI{u*Bu zJA3-W`|*~o3O=i4-E{x+{iBy=7h*skB!gtAD8%)s3o4Vbtm>tE`v$OJ34(_axUV zXItk|$2j1TRKT3)UV9gNh=X(Xat?7$b0#_$xNf*=xVwnufm$+FzN8G(HnT)u(Y(X@ zJU|~hr^wWzhl`ylYADh$!(g~Kd^ny9+R1!k(#wPZ?SWM z)Q=bhyMBZ5g{ikW*xbpy)N8jT&T_@F%F@aB(` ztGNEcblord{e}qRABJj%riMg)HGLd*9!wHy@VD6{5~D7cw~7(&Bd+7_(~^%Wk)|LEyV#k9d8y1UsEA$A)4JVVn{!jR#Ih5qr3OqNA28KpZcN*p+T#&w#Q) zTqCY8w~YJDrE+7q4LPmyN?S<~d$}LFX1E@@rn$Sq_ugAsp`Ov|Fhk)X)p3J? zJ}{8o&jbUBVy|Y=j;ejsY-Os&r6>%G0Gdahljt>?W#W19`XNt!*UFfQ@kv09ASHN>*TFn4`=F=4Y(? zYK9a_v$3lJyJ{s7>-(sz0Qgnat!_h+T zT$gS3oS(Dqr4LB&lj)Vs6FoYTdT>TqTxyNvzFh66z)oqfWN#Ja}gq@uP@ zxi02IUZuS8HrYPJ>E)g%J{Q-D22t#pK#WnYljJm+fG>#R-L zt#aDtKFj-Nui>(}>qxug9m+?gqPkeEt@cy=a6_??Sglp8$j`y80tWjY_nzie%T(5| zPG{#z5?^JjIMR977G}F(+vv!2|DoiNE_`i$1>-tX3G;eWQBx1oL{nQ+Pg5ULl zmkA?F)O2}*bWjYE+Q|PXe`^-j#nlo5b-i>k!Y+P2ca(K7%b8JFeL9>;0-o1XwW+cM zV0%eeowC`r)!jrYuY6V;lHQCj+YB;m1^1={C1DxUlc~*Qk&a}#wpbmbbe1Pccf^iZ z(KH{Lc7QZW-l8m3M*y+oDR~F{mOsfDQh^jDP04t&nrtQO$W;73t##5OG`pIpcGpUg zWO9~S%Z6}20BJ+VMX^?9Bye870C{D+)?52gE2`ZDib|HU0LT}Y6_+wsovBSCrI}dB zV?4`pGJ6AaeL2h$*lwknFJu&O%FbxjwUcTuRaA~D=ap=<>Um&Ylp_a8Js@p7VxC}6 z{6xmYoFsFxFRda_%dGfp(0dE&|BlUlbp=CAoEMPr`!fEiJiq(V(&0Jn3;@1j*{o( zCD}}llXP+qwDDrLKqAJmscdnsA8j{vs#cci$%b-=xPE*; z%$3d*Ch!ty(S{vJ;?-z%n_`p~h|5KtG*x`%t}H$gBc-~qjn2zw)w@~`jB@6=s!E%b zvBboehbNx+P7i1pygKwm*om+XVa-F&22Tk39?;+KyjAh4W6b4WkPh-0XFuDj+{B#U za@W|lId6$Ols`#4*GP9(FBnId?wGq+Zh0TJmhoxeGYr;yfO(3cqwtQYuH6KFOg;IU z+(-2%>zPX2bH1*wuKtC-Bka53#-m2X7;SoHd}G+D_ts^?&RwFaQoK9Z^&PXyUoiS) z+^D-eMLnhcN_v1&U)Z6Xp8vq_5nRGG!Nzap;+YFtW#x;w*nQfica?Y5ayJtLq>^%7 zg~0asLz@XioEhv{?gC#-_(9krtQIQr&DjL9Qv0f`k`G|^yOfyc7Q|KJdTEASU8$+I z1KyL3#4@8<3zx&K;xF)NyiwS}Kj5CT>zH8j7U)L9l|=c9oF@-fGT_lXkKU}iwi<|e z4as*hmkDM!v2iTJ`Ev`{am;dZQ#+(yR%U{`Z1P8-f%Q@5D>oIr+7~!TErB98j8tIG zFrio_e}TQs?#H}mGBbjC4juBFRso1HtJTr4L9-Q`;;Zs1r&d<`sehCAm>!pYC(_qXi-{y9L=;Tz_82Knv+AQT@xVM#6UuqF)Nv0 zfh#kaxxz%UF|3_CqpM+lWbNelqyL(KCV_o0gLBV!ig!1Y&fue8D-dqA#>$H8mYvW2 zmZ{I!nx2xeINNBO>xz*-YPGqJ`md&TmgU|$>v?MrjOG%(1@AcXOhXsJ$+X7YTBI{C z_ipwOtos|BStYA@&fL89jzjJ^XkLFcL4BZYBJJVJzR0v<%3ws|MAM>E@m z+_5<`bBgCS$_uvlaaMPi7te|drL}Tfc$4E`o9_U!(J-uX|3zsapBIUHrt_p@j3e4H z45Q*2Zi}SKU)0s4HhYmh)93au}h;IF30xxVK78)FR9| z{*WQgJjGk@tM^|T@IG)^(EXqm!Civ~2H67w{rmfrwCpn87G5)r)Zx--_b2BA#~w$x z^QF_zeMD?3N2;wzS=P!o*L5(2n%{(7olVGMCgb@(Qu6`@8dv zqm9F6zhYPHyBw{ZO-ROq0KLEq9r_m7Z;QCB@SkntrS)IXGQ@=ww_ zF-W}SKIXPyJ=QxQ;?;&FTbt>}F5qtSCoqC6g8l6agyZ~7E(0Ub@|s=QDvyyiVEv@k zz0lR%)xb5|RolH%tPaGbCR$CV759>N3SRmz`pSkf2EAd2{-w^S>mqdKMRp(4io~h2 zlwR^g$p%~R11yQ(rKWOgrI%U}=$@%Slh(u$^hkx z+*9rdRJB3!T=~9S5=d1=)ywK^*b67f1ZEhku;sYwK(I@LPU^@W16J8~Qj#3g%4mOJ z1e>CqRu(FwfdRKjIiff~q0>M_91C5R4eKTXxOMY@BRD~Qrj$??$T?C?X^B`>eCKZC ze(lZ@^<404%<}!0vnsG{GW-A}$vLuIvY(pvL1ktaaABfU7r3Erl^l zj&fExpsZFpDbY$z#e&h*HhClvgPO=K<-zh{`Kz2D+tKH&gXh&-4OApvfm$qupXm|C$&B>7*ajo^Gw$y0q3$EFESif|U`w7=8fXDbGP{8L%4;PLJzE8Jq`C)o6oWBhPi>|)TN|wP(z?N;k*D56ON~=&sHw^trKmDbc1ky; zgYX_q!@T}az}##Fd-s^yKs&EBCh=qrdehacKX(s!ZL|3;{7e1~zlk5fm*Qi%#u#C* z0AAeoe#N}!befMKX&zr+DZayy{(S(!e}Qsy?3%)DXl zLJB*;Zv6=Rn*$>16|E{P{3Nt^DPTp`SAS3&sjbz{>P+>3dJ0y;b5%fpUIF8Ps#*)J zC8%N6bSTF|^*5k6Rsn1@__eJ%9d933uc#SnX>AgA)oEH7M$;Y9 z+aCo=V+{F=oQ7S!fD9y^fcw^pR3@cJIZ})CCX<1+_!F=Z*P+jUPIN$UY|OM~`k~K1 z4Gpr3S;Nc+3gJkM=f^P%nHkIk*aFKj!as(6ecLf|U%<@5-Qlp~7vSDVW;)J(V!B}z zu@GK>o;Yj76k!6Ga7H6{QJS;lFvb=@-y&^*>dc@V#i0pq1Htf&HWKo-0Bs?uxvCyx zyEE!Dj5k)O<3XLFkPv^>seHxmq)bTjW@QVcZJ9DrnFZ-?3W;lkPi36-QHCl*lwL|V zWtg&5Ii#F}*7y$nlLy_=8uofQwHrLZ>(ouaZ#<)3SCe5SeO6!KzX4P)59H8dS|e>b zY_$d8g};F5`vMregk(bY+mZUDIhlY_TYr4dBNNFW&~G`}h1RW!-HjuG;oBKB9Y7l5 ztRMLq&rC%t%txI^klADtSqfUu#hC9n_@ozUM1~-R4&ch_;LBjNi-6G$Avr*ErkL4j zIQN6Txees>qQJ(?0*6ujYCSl~jgdhp$-quZhIj$%?=x_qHI!7wJ9=W*UIEED5h#8V zQleLCqx6x`yIoNB)+pNovXIOoi^(dof-FIqrr?;1fO}JMMcMo9%w;FfugOA?gc#6H67x4Uhl0t6d?oILm zym%kK6G<9^`iVeIZ zDpI+Hym!EwU5WB80Zj*@Rtr(?t~l1=cUv4oz};O@*8!mLV9W~?$myVcHFIDw1ko^#X%slLoHfZaj zz(nl^F6oDM?2h*Tne;(hcY@SXDPE1X?~XPffwrzdqR_(|At&XbnIh5t4IrhBfyCVr z@AQRqw;?U?>5

V<8=*!7~Gp!U&`=8&dT%xN0akZVZ`(9D75a<^cP&33BU*l-l9F ze&ANhmFryi*--*2sS= zloY{SNffU70g1dRat$QK@B~e}D$)zbogiqNNL(#S$^eI!1&w^6i&RaIb0-k$S$K*l zEaYS&%c6; z&w^VYgOeYkwV#6%e}#oG9o#e*GBy!BdI-k`@XSlR6Nl7N@%fJYDSp2Uq=(#= z062?A+2HU#CLM4U+tKsirV%leeElFIWl9l>{4e92A=#+?2h=zN>80cBGrrUC`xDCl z2z-7S9DV`EO-S=~{G!KQP~$ad@e;WH-$9`a^g)f#nzg}$)zBgp@GA=SEQaqWv{Yqq zKp@&F2<;h#j{y-7Lh|Y0k2VZMKjDq<0MLcT;$Tp7J8H?~*Mp!2v>r)lg>=w?MngzL zIUSlAebPIOF%0OJKBG<3P?|(M^BrYR!j%ia`&|nSwI3ES#nC^Enmj^1uH&sdyeAU#GkCls=+O*(-5AeA!ltN*_ez5rP4l_EE^ML3;Qx+Drx_%mKIr9(`&B_9 z8aKlTi4O$l`+-&=C{-Y$O&ExTn!7;*8HWh&$-waz^~wZBzXG~YPDskXCpoUaFHAC`l(R6IpFg+{BP zaw(u~Xhahl`NW7;;_*!>Kx2q-IQ+pWJW6Xti_vHw-nd6=KxI~eZ2E%=0{D*FOSz~g zjb%b3aZrh;aZG5->On;_&IrDZNYjkpUZ5t8Vd4);dO<==;1wD*#tZLBS}>?eqwnbR z%d3ETX=pPizG>a4Y|E%e7HURg@+9ZiHWA<7@JmKpW`O#y@YF~2rSqZD#%e#Ix15N6 z@Bn&L+J_#(**>(@d3-LR{ode{idv+DhdzUYK7o^-piK`$JG?}TUP1qV36U%ABIWBi zy8#}hHo*&&g7%8Vpy#XP_ZTH09gU$g!oVd>AT7=Ebx8wAMH6U~Xnfa)^iVFUj!#)! z`2lZ6lX{T4%DC4Nvep)sZ7oP!51h9|-_;7|)PC)NgZ7VYkV8lGwQVuZ=na{TKpLI! zs|}uShn#*yu9cByE%Ycp=$&gI=juq))7Q}w)kV6^ky}$pZ8h|h^U-_$fKOLYJ`i_W z=J!QakWLL)%*FA)Gx8~el6FKHsN6>*#rnvpIi6^gpElJDWuQ-bp$yd__tkLzBkIr) zPlx7966G&{(B=n}E(ERq7}P(5&o)>j$I$|>K+{+G-257Csezvo^Q9sM*UaFlOwig3 zDR_b7oSFqZL%D$RBjvaPdDfsuDEBk@d~hEv`4H_ZftzSNCpn*+QXre3z&Yt??dQ<_ z&+x=ITzi35e+|9!D!;cl4y{Y$yxfI+)3`2|Am5wOXFkN)-ze)HSWTyKwhzY@^eFV~ z2+m?)Nu5Co_hA>(xFqNBKD8R};hlT98jJLw;mW1_J2Vc=Ej$;4H?N_Ox`8sp=3lvm z=TE?bJBaVy;MmJ}>InXCgvECd@9sw5Ok=lf&9@|X=9g{;dhbmbQEkOD>v1f>Dh>M7 z4)h-z@x3v>7de1;|HQEdcem$1y%>G|JlLcQv}xKf_*|ml`{CeAuxcUj-89rnVyxT~ zb7!4kBm9n01BrSH%lBF4)kjQMVo@t6UZF_!v+P2{$*Q{cs~2|qzJ7ti{$x46ebQ(+JH z2%g{-@U`3EQ7ea;zynM>tR&e%>N9`B*RhXbAwR>|N6a~OzIv5>VRp0U*t;Z_Gy@X* zQ>`vM1P|d!vZx*4x9CT90y*b}_7$s1U|XXcMrH$MA6j74rDr-5J88(SXMV=$YB%&> zBXS2NOk#7nJKQ4fGrWOAAmImK!|x!0%tp*z4uemz8PkRt15}==WRms^{DEesAxB1n&PFxu-MEI3g*l)}gEet-qeOfEEsB%=-a{;$@z77fOl`IY`<(fa>B1`fW??eBMeC^LsDrTH?J44+)KSAR_qAP_qpX5I z@QFM?S}tvs(=pq!PW_8nz>n2M>zC*Tz_hr<58)~^eY8I6RQR%7Vtwf!>6nxbESn(g znH9vnqAWHBD(XG3?Q5vWx)DQ5nT*63=0e9>p zx3nWlXGO-kmAh(b(oZuhCFBUXgLGN6$jj8VB#~K+b%QAgk#$qo7c0RYaKqSerV=d3 z2#l0RfiIl$D(NrrFZUe8by?(!aX%2d%45{3WIywfHFI;=bmlkASpSW=TAN%&R-_Zs zXlaM^Mye;fF$;AEbDg2^gRD?hEfRj3os7i1XK!$w_+@+*zBHG{oQB8E1YR!+i)g*J zTTNGjvD${i_{OstltxzH`FQ>w{y;#Xr z+QR!JD>D%F;yc#)_+d3z1RKegW4>S>iE{WibsIcdYt_DxhwIuZl1U<&1I+L6`h+lJ zne)Kd^5wd*P1)t}ai3xLVD9EJ`3*X|s8&r~qh8nkfX`tEQOQ>LnD(G_(Xi@fVWj^I z{eT`mqd;aXiPI`$Y#s;sJB!}Yr5%Ldh2Y7z`i`|o zVKTITQTVCiFw1?79nOu$+N?8d2L|vN=pC0qZ}%Xv(0w<_6>zYQt;qy~dxs&fmq2*% zLu#*yjj6~?BV!pO<{qd8=?!~fCOi&{$v~z*`y=}V{;pi~J`XYSZwxQtW7S9dtk%Km znI!dZEs(iD&TAD=&T8Z-dW!q7jE-RC$8_{XbktZC^F5u=FT8GXrYdW7cUUB8n8n48?l22zm}WPTK>$ zz8rnZXY`#m?JvZ1If8O*1o!_19{EXouC|27FAn3B+GI53;0e|q(AZO3ptl<_dzlQz zhPlrdXwzg+H3+s%7&(a*YV9x^5}=&G+KH=JM;eWJj+$Br@(n%Ec(m0X^oc*AzhOwc z=7-i47%QT8y#&28w5hN<*J@S4HJ`!5*@!1|9^S68q^$M;YYDo-8~lqFN+!b>{RI8k zkC<`zPAupvHo|6^4PJNS>Pc`89fS7-)fDx2^(efXwNZ-x>Ol20)>)0veuE_41BV=i z1u-99F_lP62y|%>TaPud1F@IqlUg3~)E_mgM$#~EJO+K66{#K~r?jOQw+L9nG9CT< zkLc0MV3cBn-KIxRwjSr2ItaCG1D~V-f8kN`5$Uf2wNtbeBnP_lAgDYItHs>PN%a$E zentG-p5VO2+@x(q(` zWb|PD(CgdPI4zEBhbB1)Em8~n*U!PCIu1=$7jk|SoLm$Au?sa{3uzjI{>lhG3C0RE z2bl`(vjaBX6Ud<%{@(}i)@Lh6u%n~7)*6=3NmyFfNgnD(B_)njhEJPgszUO*p(ihf zlpcYfD&bl1E_|0R%r_iGNmejEOhrT#nhTreJL;YXUu6q&6?T9Xa~!#90<5#vh%Yh? z{`s%iPd^jZ-DT*pwyKC=vbX zB%mmDM%<=2wK*)FYp_bK@JP)@J?En)*O&w*6}{|x%%}g#WMgh*8X3%_qldah9%FTM z2WYa%T4`9bEl}5BXudmSIi4(!oiYBMbEHE{SBr25ppsI(me$J(fAL5gH!d?lSRURVN~u{Jyn)QikR+CM=Lgn)0U-%fz_ zzY-dGE1Ac9MV`HxF3ew$nowx*&KR!@#ti5H%y2AHvk?0y8TE@(e^LEGp`uJD%%(JD z_CoecqIGJKLE0GT)+gh2$@I~%LUwam#p(t%7=0bun`?4SNBxf<# z(h|LuhDcWg#Y;|s3_#O0sUchV$=NL7rhHD^ct;t z75Z}uEcr|M+;tJQg?z%==F!Y0)HWLu83t6i;Y<`W zk9u?v3G4}^)?0f83YAjhlvDUM5gIBAe$ZAJvwefU?E{^rgP;BYu3W`<P&%k?n|8H5ay`%W4yQ(k~|XVZwny5pP@TTAk8~!Z}4q#Xp~Wie|1~Eh&WS?kmh8v z4Ko<8pv?mzkrSX(f5Rv=1LK#j_}s&2=O?tsW$>jheElo5CgdV!MV{j`0W&x;cp?$= zlux1S<1ts%4>D97eOL_ccL#5Bu%p{U3V&m3vul`2Sl>MWTI^@E71eO9L2F(MLQcD& zt%hSA-e)x&zu#kB*smedfqH?DP4M>cXoGIh>W84qPT~79zSmq+9|z%?PVz66^shDCUDQ@UUX(dbn?}hgQP( zH3>R(4)V#+8ersJ1>DmKHrPV6e=m6B_d>h%MXf_I%C7^fMuAjCV%uaHgj=jd6sfp#Mx9Wzjp5ImIuZl@7cA3_(NMW68# z=6=ecL|MqKIOd~{gY(y*J}UVJ&GZ;o+CeitLfyKe*WH9UFciT+|n{=C#d*#D&=%?Z%f8Yr#65AY|(G?QVK z>_h2?!RqaWx$)z$o2ciE`j@+)N6CXNy%}X`1$nfijw_&#+G*t=!A@x9w<-%+V!&Sw zp>2I&JIBB?@-u$lgq1l2*4RswX$vH78Th6>_^%Gyd<$~yg%qlw4}6Ed?;+@V3;BMB zZF&+sL%p2Qm`51|jolU2ZY6NlV%V!IQHoZuJdU9}JS^HD^VfpBQuEY$@D-qMKt#os zkmMZH&<4x011Qx8?~VbrVnC@ltYI00){X`JIcW0w@Z4m;7JPtSr7wDEIvZ0R^)8wJ z&Q?fs9%%dmJ;iwN%vZ=_67+jnl7bf81|FS`@4cYnE%1X2H1on!QTcwMJ1EajnAvWr z)k8mU5H+OocLFO*X2YA)41LCY@=Lx}sD^UPMeT+mZw1nE8eWMrkd#j-Q*pFc5bECu zw6BFaBQ_}7q!;{EG(vKbeE!S@PpwAlbw!KsLO#@&lK?AFMH^Sb(GG4;t(-!lhWPz9$d&>2)RMx*@m zaLmFxr7h;<^S?w% zbtpq5?az>34b-9qD5ye5hM^7&@}u)3716?FAnjo&EeE|8gkJ&RCOvqrDBfy@`nLqd zYoSKvajzo&mqlqL@Fkrck-=L8T96{aRJw|5==o>WB zyCeUX3lyU|E*(0TdiOJM?!bLIA65`8-4lad$-n*!LdgI!4fZ+`{P7X1I8Z_&L~|J&dHJs(fH9t1DC(}?bQqHoc? zQgjDW!5_Uxak(f)9?g~F=FweE^p9Sn=h$?N!}IMy?aIIXpD)k*1y2>+r|Ec7m9W=} z;`rs^p!i%ad>r`D9a9v!jFyR_0Mgx2o_$XR<)dZxl(wM!biWoYCB37fLSV76@?ki6Y|K?MWCw-Dq$dfWnr63*8el2>}bG6``mWAeDaNkpEN{s>v zddlIs?xAx5Wed{y@Bj2^N@x0AuuH6f>Yj4ZZ;E*4sYOAn(vnkDw1V2w_y46yL4E%x z$9US4;vv#qZgj6&HrmLZzw?dmWuqu@G+nwAj^;+oL8--OER@ z>gYM8re}8_y;^Wk96Me9Qxwk*fIv8CYw0^h)IKY|Q^fF%#)s`Ye5e?%SoQaR2#F-=~~UbKr5%C#eKbj`ti? z2IwzOISXWgN(fDdmYE{-QJMKya_Cb9`4rrtsnC4r(^Mx?$t*ah?|N$Q!ISj-rs+|- zdE|`BoQHz{VYU3$p>0Ro%fmPHoJ!8WhewOhy|i@4JneD*-O*dH7npM7zkda(|C=*y zuYV7ZKBsL)Yw4-AM+^N+AzEV36aW3~(Lw)x#nZ=nzCHQUyZ_emzt8D?k3RLtkcVqM zJB?|5J@ux)DW7|^v`634Cn=YEq>nyJ?-$UDUiI|7l!{bhJT#>L3uMOg-*biPbt=Ig ztwKvwke4T4nzBdp|F>jQq*7Wo+TYW*D)>+3JTHHTb~<)PXF_&!^N&2V7nLQC?0eqv zq)^b`d2|SsR9fDD)Q+a(7mzqoBpOf1(hue&I{y`;=)qgQ+>WRp}W$vaX3&SDg(5Qsk~7M zp;AY&O?~kR$WJjiAFI-eD>Mg7{@u|3-1EUze|%`}7Q9RM!~5e+nm4^d)APbxR(w+# zr~21p7tl7Ld_{jzRAEXFiZDxc6)jy(emi7=_VfyUhH?Y7)snGio$g#u!WqRyriili zxoq4k(4mx?1ofdBlWHQGGwpM!uBQ9&>0OHJN-01kM#-nW3#m}V;&|W!yvRrLd<)co z*Fc+y$L}}DF(W^B${}BHP`ujj$X5aS0Hw7RSOMOkMmgkm3&3bpe-rw2} zVq0kua~LrzOPOwP^QEHp2RUQ%E{n~%(fSg)&rGn=)!oT;Ml7dNtjG!6FU$({tz1jq zpsYY7qT9+Geui0Zey=abx03tVkJz(ZXQW3O%Z0ON)S6NQcY-@Y7PKrTj73ZZpiH$! zBW=(Xx8!-(vQ#oga?{l=>MOF99mf?xw8!P-snP}!gf7df`anCa{sa8TUl6^lpC%)= zM2yxPv7nql;qS>-3bK-{>XhA52?t0Y(*0SueA+8U*rd|a#}*J5Mz9Zk9BKMnJg zd5)XPePb7&P5vkSelhrq!*XBP7R#NvH~Is{Klq=d5Z5g6tu#U1!s^-i>KjRPu64dr zhx2XuB0>z8BDHt;xQyyep{9`$?vkBqy4&R(DBYGui_?|;$~niH+$(m;Jra?7yQxUxU+M|g&KzdKh0eMF65*)n@I$=6rP58NgQ=W$Ij@Afn65fpl0|Zsq*PIZ85UW4IffPHQf27e~5wsJ%_9zO?gE_ETBq^T>RztN8(QD7U41 zsBn$EP&=D`GM#g`%bQM`nfL3W5Ls!Q+|oXf>u2erO_3r^r;WkDU;HFp$UN?tX*ys@ z6OJM}*+zGRJgc_bJXyPycZJLH`bk`q8^-j}HDFe7f!sA`PF^{6F1L~J!g#&j`6}&@ zsMnul`tiS5HZxZV3DP=UtVbZwq zMqk}Tdtlaj^-oKb<(tq^>nlAJe{r{zX6wFrt<+T#s^~|E5!n-^Zv5Zs8Io=oCS?@@lGniD@8Uo4dnA(`BtqaxxrH^IJX}WFBxV+tt2wQt~s_*ZXZt5cKwv>>3 zJ^h+#bb{xu8WX6=LGm`7VFZ$80T3I!vXQo(-pTWp#O0xT&t2}w48^{jR zG`<2iNt`PgwI8IfipjXx^hxXE_+Y;zes=%i3=uj9v@#~zhUe{Ze^SO6=lR^>OF3IP ztE-c^*?cK>Gw_g(a_ji7N~*nx>#P**o~G2$&1I)Z#mRO4Z>_lelGNhc%I|ZJyA@qo z-5j!kNmuGQSGY&YJC)mdUvEz64{Xt2U2C%Tio9u%VTKka$Gb+`4@)ccE6qRZ4{OsL zp^n*Xl)1XGkI+k5ojWPdCT@_MaPxfz`0NtKkQ(CltYeuKTsoZ=6cTb!>yh=!@!c)R zUjy!y+G?De(I#VbcIm8=3h_@1o@cz^PH;?C8nNYt<;I!DiA;IdpEl7k*L_?Z%eJtZ zeHJjKZT^{AX@hK|4NZd>-=}1%y_>BeqJF1~`^;hfD-5~fK>JtQOQ%u$q#xz2TGIJi z?hDz~Gv7K-n+Ezt83MIs>KLiMc$5F^bx?N`NTq``#1m9Y^NG4U=5~;^5UHNBP3d4UWOYH&r^ZECcuxqCID&b*W^x<2K7akUZp>%Nhi%tW=j+gq)|b<}3Sc^Ng z{-ze=_P6O=*)GbPDWwqLuARZ?(Q@cd! za1F^cWA56n}t8aS6p$!;%^5l=fD_7+l0c7-sV4`*ce-kk1vcNHV^LYnJ3=NN2f zU6JB^_i%YQX`)qE7czqoS8at>Nomd$WMfv~s+GEtSArry^|F(1eUU2pGC zW}R?Nx5qrwxC^nQW#EWb7RDOa2y0j`p@?papz4Phy9#TyjmlB27gL6KYZ=Nq_bBIn zIh|O6-rG`JEMJsfkeS?e<~4JRJr7i#P22!okS>X9%8ua9@$*=YxyElZ%tTbPNBZsj zVYZbpUN@eP5jGjZbrsldT%hihZjpW+qKKyn+1zI4KJ$e46;?9cNC&ntyOfM%N^!qI zCvOER^A_NbHfP@f2eb;?oh*@OiT=`Y`K{7Vy$mf}o$0Q&QD2bP%uzO*zsetDrV^D| z#OLYu=pJ(3TscIZdd6i6vvdQ68A7UXQ}@oe*xbqx$4}$00>N?)JBS?z>?W4&%1%Yx zw7vvr?qngmhCN6wDIcW>#efKF>zJZUEp-Uucej&!%Vt@Tt11K4MbMuY5g{x>C5V%C z77?H~N?B3|xslvWo5_^c(vYt)66fE}){cpJt8xd~7CXG0gB=6x zuDr*&Brn94W?N=IV{dQE&e@;+CVN88h@2Z=8LpyPc{7zF9Z6 z2dSz|QpYf3*^hj-ahc_^_cm)opOQWstzW#qd%v}M`}kRVdtbKXc%8MF5mR)c$zXh= zGjf;M-NIpE1V32UTVIsl#PY%}-7TF?-(EPtmPd5Ip%?@*hNp(Dy1#@>okQ7V^RTFNh&_h3_FG2t|Z@;8P#-3Bv~cQ^P`iBI0*fG>$S}HPkeE84mE1 z5Ro&7eZ^JL)iB)C|D;P4c)`VXVW)D>SR0TppMmz?nlEz@R#qJErT-2!F}MxSHS6Q%`IfzOgFY7yB$c-f3mmP>ud(FIlrr?fi!hmm4FjF zMcoTz=lMV?{1Nd2izCX?MUt)Vm6N3B(o3x>Qo18;NLusM14%m)o+flj+>-b-xkCDfj9r<=>?c{l>Ge~r8OQ9y<-5!b zbMK&r{r~W<9W*guviBf!Wpgc4 zkg=IzqyCjaZ=Pmu>2=Kd#P7X--+=i6nf`fxXMEOM0=*8I(~R{E4t=ox0d)J%`WAXs zf6=(WXfS-&pVxm9!udv=i`fk%yieLVwT$vn-YxqgR&kUnYB?mAN#Q;Svvt0@(TFxW zgqy-T5O?~ca#cO44JJ|O1t#fZOfE~f&vV~W{<*%s-VvrPdRFJ+z4_wYD>i_0ur;{^ z{)jGC7pW742SPlb#vu|d*NnTu=CU=oFNiN+59sV&5Z$>JH(5y3zc79<4K;5vzcy7e zF4w;jIBtXHr_7an#au+XF72x8YUg?Yw^uz!rj6uv%W09_C#yu}ujx6dMN^-q^h}9M z-km%%IVxF9ib|f9d?tB7^01_ei5tI9{~Gi8wq5_Kf={wp;Ao zxY)Su&!i_OA5VVN>XGi@qWd==NwL$OTVFPNTld|85B-x4*$?R+g&(NAvD)T}C8FvD zp5fner!7YVh2n;aH)_~xMb^!!*Rzpdi&ib`HaZvWU;2K?Ngu&`vXu|I9ey=j2pthP z#e17>v#`uq&T`kcbI`n?eE}c+72kO4bf57)?Y(kzI(C}8))nrKP-=4xOp^7z|Hz=N zLGFNV0Y?Jz{Hy!LTLUeV&8)e($!1#T-ObnTbKIwr?^&zEve>%TPxPN3urT0;Z?xBT zT@Y(i9*NW4DTw6S#&y$OM2eSIE49f5W+S3|R?=Qc8(ga$Z*6PyUcnXN>)7gC=`JQY zTK$6>Sf9?1$%Y2O!JPmUiS94 zG&VmmE;dZopU_gONSAWeb>`V?*?Q-uXWdN? zOdXV5B`GbDOKz2NH|1Q)x8z@vW+d)QuzdgR>zXg6K9B#n;6ud^T|O`$Z6DI!*LYw2 z-TpTxUvaOFzv%sZ`SbAS!()5JI%2b*_Iv#4QP)SM9{%+3+rwKAS3Ms0Z2PlsPebCq zzPSG4#q*sn4!!L2D&Wnjx2@id`O-f1Ugio%sQ0k4o1^pU{nTz#yJ^v{!ovf~Te=zE zTS|pAkCXvOIAr7Cu;zO6=5wX+r9Mm7z7V5sA+FT1YTk{)heUNPt1JJp+?dk! zO06uhq{xJz5&p%jQ;jcl3A*08I=V#tTEjHm5$+-r4P^34G|?W4QFb;fH|0*E zU&4TdGRZ^Ie$A+oJ;Y{ny4)+|Kge6I1%Hcez&N!Q>R5S;6eADRGMKmA99?-+V@sfQ zr%yeTae8mJH1kgM*%=TXvLtL@ zk&Li9Awhvl{Kw(Fs(zn*u2~yf&sxj*UiXg(z7x7M>`Ul`kQzZ3{Ac^dBj)mUV}C=a z{)JFQh!VC5y%1yfrLGxb7iSAsxsA+LSQ3lnaOti4ool*tv%P~&uszOum)k#Qf7XGF zJ!$n)7XxN+aB|P2Aqlpx-#&N$)cYg(xbH*#4}X1V{ZaYAfBgB=Z=cJ3p7!a}$DSW% z#TR{D`o+~)-Lsgdlb*eJ)+)Ao+{D;2PeY$}kL?)uBzAgisn|QuhCh4x^!_u)^Q>3* z-rS9!_o2*}mI?7G<+A^=*AJ**=`SC$-K zwsB;gVznZMm5wa4r%X!eW5sv-*3yP&cg^t1ewnk|^_ICo2D`sHUfRwh7WD+RqfRny z@$TXM*<1usQ}0_2d6)9-6rR5r`O$^fk?^9c57l5#;VD;*Q>iXGgI97}Q?8GTbKCPse${7zMzwm+j8v2U57=9-E0FvefJm0mXEqjR98J?MQy+`^U^)DM36{rj7 z?pM|4p{0sB)Nq`|F5 z(+8wJPTrPuF7Z^tobUE;W52)pKID6YZ%e=Y^6Bb_v+pauFaEyX`=ocwyLoR{y|%w> z@}fkn^7zuD?hl#!OEmdDXg5669cG4Ji9 z&*=$Y)4JNGOLq2<--)s%>K|$Ux^K%ty?Wej+_n7X(7%1F`wj?PR&;UH1bgwK`xnH?*`Sy`{#Y%+=eqT&O`6lWq zccd%Ll_lO*LV*R`O}nLJON>~><+8`-e#tzN8kczRTczHDiD31|mxP07SrDNJI-fFsF39<%R<1E9xK6s7sHd+T+y?jPm_gl(Xe)ity z!vrY7<%|4T^m_3rC4x%~D)F*}Qhac+w&CkSp7iLS2xwtk7B zjL~jrVOXS37A~{j)ICzL+uteM-S$(COlKAMMR*f`7VjZ$btvLyuW=1_?7O}ju-AY zHTL4OxF>rbk9_QYke{N9)t@o^Zm6xV0G%GKJ1N{3%IZrR$C$f#@Af?ya3-ij@Uft3fqVSS*4E}6 zeGYGA8)*0Bb>cDCWhdv{XaCW0Ow3hlvOgGBc~AGL=_~p@^c`i5^l@3gcvbdVYzeeD zEgk&$;G*HLBd$hHj;dbfd6~vhsS%Bf{S|V?uetXNQ!m3{eVXo`5XaXLK4D~$%1;%d zh3VXIRs#0@Dc}>wD4)cI?maHzoaLzJ{L8uDbwUh~+baoLC>z55$jl@))j!0h&Kb6y zIVqV3(`TnXO#U-@L5e>0uheg8QRy#Io29Ht3Qp+twdtqz@9w;*^SaT?3D0*w-}_?e z%R8^z#ovqn5`XvI>GZ!^|fKVxwNXee=(U zu3xq!R7;6SeUR2OYk$uDyeRihHqPg3gekg6%QrpS_V3xFS-X|>_LRF&;&HLJVb8-3 zM$|0TyV%&GNAbB^Y=22x#G3HDfK$fi^7$NVM)AzVoNRjo$)&AQ%euzpHO~1d>rIxJ zx7KxDTCEOas`D)kZ!DR9?*q#PP4X}Ce>l1d;Ha`@Jy*vq$;4eD1QH1D?#|-wu(-Rs zyDYZ2ySw{h2_f!2uGj6I|J77d1(iVLp3~i*^rzD(Xqb?e%hqBawJ!sYN1l&AQ>S@y zK;5E*buoLwe);=r9$*QwbZ!szm{>}lW47@Vg~P&0;RttzYUWdT(p;$Xw_~Z}zJ0TO zm;IB?X|-BIY$3K>8{r7@d?H-T2T_jRQ`FI1)%hEK>F4NvYO+;@iWtRR#ZKjGm87Bc z_xv6N_y;}@Obm<;2rzcmHdoeSF~|pi1)pHbDUL|G2U0+M`Ss-M|J^!sw?$x zVOkc51qS+^*QXm+>r-^ux(WIcz1Ocia9HU4s5$X?BAc)vVLDD^)|&V zYz~qK=0_4_&5VT*SPe3pB47u|pSTG49uy?H%#zND?S+Ya23txWru#Au<}SBXJSp1- zUq`=T2p)y|VZC65bf44E=Y99wUWe8`)>dg9X3elF>~rj9+bG)(Yk~P?&C{yCD|(mK zEjnE=v*2RBFKF8b&NpJs zurIypr3c6NjGh(xIOtx)KhYuKdBJ(XDIxJ;MNw4zz{CSF!67!SB>d;F*3LI4TQl5! z_RfG5oK{l*?>ckhK8NS|XJ~pT=EM2iG+Im7(&M;c5{k6O>S2B0UxJ%{>h*Kp zupP5au}3?U&Tv<)(`9dCb68pHbK5}YAa4h()`~z5*^`3-QO>!~4`b-FKV_rZ~#T z6tO?KDE_*zSUMwHkDQk8S6)_))jrm()_S!!3~T(N0$v3!3GNxPB=lKmtI!vrWubqE zEDc@}ygKNMX>q_4KiB|i6II{k>v1){8e0pdS0mg|wi#q~9}~NX`-Rc}n{~NNHV1Gt$PsRxjjt*8UdK+{nQUJ8{<--K`67l3Uyq0f^S0M2mTYw}+A zM0nb|HLfELkL{kdt~JH7pmu4^jp_rH(G~wz?5_x@Xj%ELa&JXe#plZR72_-FSDq^G zReGvqVNr43q1!FLjMPkRCX~4|Bkq^*vu(z#jCUEiS%tYr z3aX3SRL(S?w@>!AV(&<8@Gkmafr(LD>c4Lp+x}ta>pfa^t!XVb9+J{4eoky&tSNSM z+~c^5QF-B;Bb*W4qRzx-$CL5zqcPJ)d7P;6%`|tf?da^`z3JOPe_-bL4%_$F3Y8Bk zwYBSPdp!`xLvMk&p`(IOworyD1}i_QP^BM^Vl&a-NH@HfCMlp!hr}@t& z{pvrAI~qPTpq}a%p!`duC&D3s{C$T$!RL_v$VqTgGo<>whdx5Aa6vZM8f6vD9V~J7 zVb0yoPtFCd`!0uTxBIK-12LQZB(8yz<*;V2uAhFd0rj&PGYyG`m3octm1d3Ri6&nA zuZ}U~`h^6TOsMIdzi9lW`&T&;Z6eF&c2P};KfZLLf`F+j^g?zzHj^_Vf}e znA%MF)Ai|*OftV6B&y{hRq|^}R5ej8*ACWh(vAn+&0rG|bR%>`L|oLwsGpI2A{#`M zgdPhH3z}pK^_L8d^kcM_)Um1;0HgKcIhY&Cgz}`>!b-Lk-5&IwJ~D#7%47lLJz2Z~ zQg@a^hv1vYXY>-*508`oCx0rR4(1mJ-Uwfby#=V)FL(z?C~G9X=BIES*b__><~6;L z?nA$#9+C5j6W-45bY~;`3CoJwgEf1rgR34@HmRyx)u<|_+EE=^Go|KN&FPx+)x)d0 zRT?VVmz9@1EZJQ0tN3GaQpufSb#Y};PN6HmPX6XRF}o^D$nyN2m64MHWv%^9XHNL@ zHaj5ue9p9dCf{1{qj*N?fU=s3l&VRUG1cj{BdRyl{_8y|T*W7Zr#Ii)efmJdn6Bey z41V9EUb~xVA#u|~3j&<}2LgKsmib>XEeY)yaV(OJ`I&^KaCPU#wT?(NCCQJ_KV0YS z zYCy8rYjK#M=f<%(dxUcOwtAbnz4k%2c-vn##F6iM>k0A|635A1#A%=C+ey?CXQ_>x zQdWr^mXB7a0QBXt{(yd%p3>=b?=e(d@P#?+Yl6ApuDG9qW|Ha8N4MdGdv^mbJWnt)8VVbmWJ2^qf8_GlZ|Wj z&2^_W-PB7|N0c4~t?((nDD+Cb(x?cPZwB4^b0h1yf@N2 z!aLDB&J*j7a2#okBUB)zAL?7`fr7<>O{r5itm+d<%!DBO0goi=C!S*rwNy!9vVJ6 zc}?>ZT^sg`7$EB>^=Q~BuvLDVH?e-qkcf>zjrX`X9yvQ% zNED*+Fxa#}S6{IXIVVdMhjV+GVN3^FMO<-zaq65y9KG#j_P^Xyd}+i=Duurms}_}D-@bu2D|_9pnhRLBi2U!7d<=rbY#y6 zZ`ifq^nlI=EkM}zK}PW-8%aI(weYR+1rS>DIVqB}sBP3W@)i+Gr1;)?cz2@kl5Ul@t){y= zLUmD*rud^6sfy^;F*#9G-`6hYO^k!V2yc zBcp$j(d6I61K(KRRv$tfBf67Kscm#qwvdYuf#$J6Mg@ zWNVH^XL)5lZGKZ*So5f+O-+~TmeuR3k5pw>4zB7^HMeqA<=4uFl@BYfR1B_oQSL6A zT-LR$YiUf$r;_ZF*y8_+gUe2qjwr1xKVOksezdYr^_c1(HJJH&ZIQXD^@TObHJ|*# zRw0b>Ug*J?tOk2q^lE*q-R+Kr?Haae(YjUB@?c*;`Q5HV8N$cOUB`GsPo z>@>1nK3$_U?l);dH4%>^J46qS#v(_B{RloEK{EIV^_Cn& z8pt4WH`$T;K(SO``W<*}rhm|#n2t;vW(m`eIZNkK_er}C@-B3zx?-Kj9XXCCPSg!< zXQG56*mdGGSc^|o!rDiM{r+oBzQBD!njj?bX@J*HZk(m}(_z}>nl_qfO=Hbi%`D9V z%|6W)%`weFO|+Kp;GCHxKXfh)7hrXS?U+j!S~$L$34;Y%o*W~ zb{0ABx=y+o&s^UXqLyq;Ph*a-KJe620t-@3hePLJ2yKYn!mlWntF~w+>&?b*{*wZ~ z2Okfa5c(|abC@D5FSI-)EI2jDX*53 zTVbGR0_nJAAUWu>SSc(KD)}<5BbUW`nBmNPW*`GIe=*mXdCV!mjE-ib*ahrn*2P?B zrZT}qX-`9E`X?d=+K?XcQWHT`NEm>Zhy=GT`0EG|p1HP150>aayRzj)mASlMRz zSDh=cWz@_>s-7eDuk;;F=Qdl^gimjfUMID6-5v@4G4WyBgQ5ff_}}vn39<*j3Q3L} z80(Jl#$1SRl5h<4w~gW-#hPO1sEZK;Lj!~6`CD`;sv;}{nk?kfMq8PF3Rxb+n2rXB#&NoZ>Osm&_)BCjG+HX) z6S)$Gq-K&~q?ve0d?QcMNvwqn1w7{lkY>D57AS2Iu5$m;uZcC@mhR~ef7@ltV)M;f zgSo#Y$`3#1qXzrg**>=A96P2Ysl&lbx3T;jo`?j`=$i}?ftIm zS8Gvqdqr>jH(CUwyoDeeju5U0^MrT&0DdB0kKe!ra~UiRaGV9yVsa$W$v55m%X8B6 z!BgVFy!X8)eGP~#a6*4jqv`I<26i}C!p#A-C`cG8j1cMzJ%sU~4t(K1^UHZBcM7n> zPucctF*qBYm;p=+CW)EI?D*elV3L`ebToaPDkMJn-=?bqx+`xA%U>2stw7dnqP|8vsLfv!OJ0(X*oxLb0&-RIo6XNISl$K$SXTipfj z`|i8oeT}=Wr^++K`_}u_=O&tx`^Xzq5PgVxMlqC^^e279QeR(>=)UBh;j9l>XQh3i zl>@JfmhYCC*87&vmVMS=mPwXY)`gZ?=Kj{+_Sue=-tk;dC{1z5&m9^R-9K?hz4ZEJ z4aTKSZQ!k^tQS}3O`JX2KXO3m-k|NKz5&fmnSpJC8;7loY!GdVO^zKdF>%H-x&x{fSVP$`zLuUrH1-U0Zn9;Y)wSbm(rPxHQn z9?Ym$OEQ)`MlPZoaRFil1j%I@PQT0lWuPl~ zcJaRc}m zpyoUvbp!dOI*|N1MmhyLd6+Ks<+%qq$JkF<+gcu*Kbn&)$=2UC)LH4SOH8Lrx#iL+ zcp!F9-cOmPvZxlTm#d@HpH(MSyH)d4VX9-wc1lFKQc)}aB7Y{|Cohx_Qp{F7R)i}r zDqQkF#X!J-Z^{01neTn=Ugo;yR66q=LCz`8Qcx>Sxi@>R zcnP16I7riZWs3O1hpYpB`rb9(c0LpFiug?G=n!TK ztK{Q^LV*_}WfwtC-+IUf)qzLCbK$Wdxx774iJgQtLbE|qdUt3&bPf6rf%Igc;rJUK z28Y3)pv@p59hdb4xyXIRw!%K%kMG27V-4&v=5J;-Gl5ym2uwpZke$yyWrwr%*?w$3 z)0^o5)EFFfgqlVjC1ElK$l4zg$$+1!CdX2PXc?$!W7r~g02j$00vZF6A0{*v8VD@~ zhPU#?d{aJ$i{nY|G^gj=^S{CE(||w6HRVQdiGaI0&t7F4v;Q)K0Z&~`Wr2UaF2IW* z?^@3(caiH~*EH88m)$krUE&r!lYOj*5*Qf%B&slGWL#)`YMef%LDYzd%FqeHoN1Q-WaE4NJJ4%XYHn*M=u`&8 z&*7KgAL0M6A8m{@zR};&jnlo-uGDl^JC!U@?>M0a;y|tr?N5|?M!KEOO2-DrJI6X_ zCs!kP9q$(+iN4Q17Fx($P&ecgl7e9H6j?WM89xCq*fbNvzGioFS^Ro2MHUO+KqKTa z%3(QB!2N<)!k1JHNH z0EI*cdH`KRH3i>4N!0i*dKY`@yYD*(I_lUF8)aE($+P^nhJjn|yQ`V^6!DI_$6Vmf z3QMF^2t#tv`8cjvqnxh_SLdnQYf>~_HMcb(+Nauex(Zz?z-Rjyk__z)Zw#z~GPE)7 zH_kM^Ghl|l_3LzkW|?}0>XdS);w<3x-{RZw(LjBghyBKKu*cXwY&<3h3Z_h?3VsVs zmVFn~geTk}7GpeA1nARyl4+!tG?6RGP@o^)LT_d6u?1W%e_j|X27n|+A4n6uEenI1 zgM8mGpiNi{d;zOLTK991?mh*mhs@$^&|@u^X`mAzDSZKa7Pi85kpajvq(Ax{-HF}B zugV7~{FP?j2$fgaUAbOSAa5n#gntE0^C>hM-3h+FVXze%1`_VGKssbT zKnybgV%|##5mW*Rlx~qiupk#g1%bZ=s?JcJ$tMay(11 z5!?W73O5@(*$%D^|B@df+!qo-KJHSW@;?hQWOqZip>%i;dWXyf-ZsFOf5*4Uj;JT+krgFSfJaogUn&GSRlH^G>}rc2Dk<4fF#cyASZn% zzyW@M_j1`ekb(J4>?nQ}dJ5V69gvDXo{!{b@?ZETd?COgRtkND^};h@voKQVFQCG6 z@G(pHSYF5H1N5vd7tI}Go3n{5(BRTH=yvopDv#Vx;^aXvE6yRRd|!Q}?~$+Ahm&=v z!}NdLO34OoLo?+&lpB;*z+Q4ewgl~5Yo6nTK0 zK;%GybWt`<>LB(Pe7ud{%)7Z)+zhS(dmZpezsVB>MARqR5M7Bkgo*SLxx^VFlKAc0 z;k)bQJ%ygpo-XdiE{C&`bA>~&zp@jcc9uG}I@4XfU3Fb?u4B$zM})J&=>urv4Nqqx zo|?w=<7>sk&{K4ae446-ZiTU<|0Gjf@aT|;km!&JA;e*p$=nR!UkCn80o%h|B zyeA2fWT_H*0=R9DGgp{}OnY#@PGy!d@0l&^5il2M#1GO@XfV^m}8rK-R8Xp_F0?gv8&Z^aGt?H+$OUg-#xA-6Q2V5h=B|YE;3z$iC zG5LX*N}MFp$i~zwdNO;NJI$W}I^(}UCTkOrU0MiI&%X=fgeF3YP|eTgNAc(RoBU-S z7A^_%#8{9a3Nl!M5^@Yuik`-miUrCFl~2uUw7UDczx2HfqG5rtvEL6r-fxKi3IFE) z$Nj9vn}#0xVBKoXKdN};9r;ge40-|i2@th5Ak}^(@cMiMDXeNxfu@Ozg*SXMzlEdO zzU(ewvzbjlq7tb~WFUEjFc9AWS~HZ;6CVfy+<(8RC5#MIBp)|em=99k8-Z-yBeGPW zWDSPfBlnO9WDmk3A;=~88TP*Y(`-+1|yGX76PkZ|!TnVeVnRZC+V>pa!XpuGvtP zQ`MsST;-vPfXZVP^72h(r^>FBPA`csc~CsJsG{gr>8|p{m5VLM+&###{1CK}%5JC= z8XKJ#AK74DlR8a1G=I^$ww2UuLDS9YRrN%`XU+MsdTpoZ>7bJML2`=_mJur|3z!n){9VQAnr1EjX2CDLb(BcHiP0If)as*JJ| zC9jHt3bh4i^B?Ai7Cb4aEM$tI@;6l_=0=W#o@eA&wptttSD_>2tCXWuTU9qyHf24< zLwqCl8fgN*0{zBmpj>W==3_yMCh7^g4MwGDVK5r@BD^-@P~_vt!I6U^#)RDqjtFe( zZ`GMp0eB<$KOu*iMZWMPIt@0qHlkXnNGjh@da-00cqvN%E{m*CR1K+7T5x-UtBF@d z&ZHNyoB6>YCtC(miQ9raY&%HO-3St8L!oLY2%%64k5Jat?ADL>8({h#Y!BN9P^(pO z0SUblvl6c)HcLbkEU~50p2&^i#UV`KCjV&$pH@^Il&i30#3#EfX7Mw)Wq<*y!yKWD z0YY_*`G-klpRmvvxj#jde!bW zTP%4ti{qASr{{0qSz-zqORb>>QWmlYxsdqBx60enGv2-3)xa6z_{Uyo3kOW-6zc{{ zBa6%uYi?V+x~5mPyehi_EjN{B70)P|UQm{&%`@bF&wlqu4tR0Z?=_hlG7o3$`jwE8 zoKf%BiOjP(Zwk&;621z!iKat{lq57NYRzX{27uv=ykt(T^F}m(zGO* zjY7xY2TYi-8IeqsLif^S6Pu>%t?C4WdsO|8>#c_tT{tD+sR$o$qy<1znjCPk1jxNY$3pbkMt z15wi*zhQFXpG^lx0o(eKT{;@9uvd=d3JfS30FK zy7sND+C7@A%N`O&$yUIrXm@}w%m=;C9jqyK6up8XSTc4Dn}$!7hbbGYcWWMK-|KUX ze*RMeVglC%Jqh*?%?zy$#lzl)jte;x)E2O)AB}`=qb5;xO#T}!hk8prg{j;{W*;3w z3)D#3L$6}SvYWVR{9-{Njga+)4#K6#f9QAYIc}7Xk>|9S2iAtk5ajs}8EiYl=0;wW4;jR@A)Ki0X%`o65V2zvO;+8LC43p)BdJ zn9VN(H)H~PiCM-pWm1_OW;uJ7CD<+8L(t!B;A(&x^ETa&nnL{Jg*=iIcaXNew%Jx0 z&`kff`T@pZzMZw7bR2bdb?tMdxjpU(z@V=2-1i*wlzUow8Be|^-Rt!X^vw2j^T^%9 zT;p9soQ)kr93}Q!Hn%O@zQ+2+l5Wj3J8PQOOs_IlG%Igdda7_b8BXLHWJ+`Qbe`TrIyET}2CQgp0%LGiuP;MzGp1vW0IZ_=SA z1)UoXxHME6nKydKh-O1-26gK@tgE#h-E>yH+i}OkTNy_ydn#`zeApBD4)qoFM0JLC zrGCABv%%!&=YK8WL|~(!+kul!PUA|=ef*x3L-ewex@9McqJN6f(=g*SM+*@pyYI;`Wm((rlQg9`|XTh_= z7A2aBku|-o-JD~*ugC{XvhYMENB07y_D;<=tyO2#&(alYIn6?Kx$=M5%r*2FavW$V zH1ICh-cZp)8Kb(Z$^g^!DP!gq{S9$#2z9%@o}|y~RKp=lRX>8v<(nH^X(kLLaC*q?x4NuIiyI zmXr8&ycnB|rGdB47=?Ald3>&XsC=6IynK;74ond=ei*Ndf55f?uSzeBM8BY~&?o38 z)QqNJ*RT|P8GZ$Sg+Ig(;<0!i;H#L1K=4Fag*Zhhweu{lhKyaPC;oD9=RCWY0*C!Sle~#l6t=kCSky9XfliO#|M3+SY+;{natg zsddeE{d85j`T|^Fxbv_hz`nzpYFS>pv)Wg=x}u`&c4=YB=HhU`y0V2Kg;IV^et!O! zyonm96J8B~4 z4rrhBr^SvQe}+69-+ZcRYSN^C#v~4T*vHg$Q=4T?CO0UEdujTm>;(PC?Dfs|m^=mE zpJWz=(;wNfk_8>9<$^5H{)sOV`^Pp4jWsMs+b}-YG)t$-p{0KnmlWK}A6xXGypBaf zT!zxMtAm_Tp7`rYZ<6Pw(oHg2KW)FGy{^rHCKHq2M|KMss+tRL6DBcH!~^$UM_t=x z+Z5+sZwhx6eX620ZFRZYTGd_o6>L7b5Saqa6e8)T?td%?Dqoal7WXRQ%I;P!t3F@* z&3e^&%6FUH1uaufH{J-`7(6WaR3K0R8}hZC)h`s~*axV$aFJ1yA3X$^lpFZQ5{sz} zI)Yitb`>(EF7Qz7yquEHmlxyBu>J5W86!OspYT7~EE+g?eNTOz$iDOvcDQg)HUhbg z{ZKf8(xjeoQ^1+PSwVk-LWAm=?)vZcn`P*)tFK+F&QTQM|6&mO3HHL5kVy0m`U)$= zS$Upfl=7WYR2Bo>UW_(DPC}1mylgPb$XBbdJ$zrb8-^EJX#Wr zw~=Rrvx`k_d0$gq8B=kpd~Mmb(m7?b%IlREmxol{sJ>Zq#=PI^vrY%t{zuFG+8aWPX;Ozt111!VaShDx(yfEkzHz(qHcwmKYd9?Gt|nXb zdY@YhE&JU6Qn#rzg7Iv3wQz4|ZpuFgo{#&IT&M1|sNP0@G>mV@1OWFye}Jf(0A}5@joVll)S;-OWrWD4HLkbxuHNkGz`i{Wva(I zncv|6%GB0$$iIzWkzu3$fo`qVr}`tmjShlti+6>Cf>jtR4TSc?50SIjEBPj6KXsb6 zNEc^#XV`7nsec5XrRl( z#F_%?aI)pPd9^vze6lvH_NDp0WtVliZLZyFA7}4vyI~yw7}ar>ewJP4(wYxdhRRnJ z`4tb#;qugq=nA6rVQFwVU+M$Rt*ZEIzA{gd_arAZy9Lmt^!an(&z|i4IfL?A6vPyI z3U3r&Dy>&;sJvb?z-Dom2xt7A_1?FiG?<^Pn>uLJ_km-3G;dwo_-(T#Ee57{Nv@0v zGt?E6iFTnD_{nIT}q!40k(vzxzy)X^;sO^OdlvP3WQkHMl@!4vB7Qdz=v@g_f! zY3$qSB0RUaUWzTIInfjA_)=b_?5-P-v^`-_{Gx=~L`D3S@brLRstXcD9C8b8kMAkd zN1A|Sq8m{XX@S1Seky<=R6!)i}(KcS4W zD*0}0Yr_rwGEH;EGqfS{5ow0?#4_Pt;zW9g=bB@eW0>oK=QS~zPGkyc4fBcF$fZel z5f*QwVDM<lPrgi0N>RIpc0uUTOfN4RU;Uf+y7B!D!qyb#TofBfRB$=_Eho8 z*@|{}Q{ekc0a!!1e1o!9c~rSd(N?}fK2kYP?b2M(YPCJp82Xn7EzT0w35SHe{7`NR`;y*CP689qDq=n1C1S{B#0TGA-(Nnb*8+I| zWZy~eRnIVYwezDx;h1DEwH>xa+ooHCtfAItmZO$K*6p@Iwiw%K+d!K?INu&iy2WVr z*1iJjs%F3`mRj4mrd7?TnyFQ_<)6!vN`s1?~W(QF+bU%F)<8l)fQ^px*-^?(8Z3<5sOrlMcALT4kRIGB^o@K1nhm{yFJpT(XM*VHiE*DJh8Z_OHJ(cI6Z1sZ z59*b$5PkflAPUSULPbIxV!~ zpK!1EE`TXmz|Uijf;}M-uIcW2zBpdrv16{b#61t{IXOZHNEQ@K-lU9m&n5WLy|$0MU?q->xZ zp(GV?fDcMhbO2AQOCAo~L=o5@lt!mxw*Wf*73~V{$N$}uH_#%`X7V|Z{R;cAa@O}B_+yu4>Q153l5x}u5Fw>cDw2MjtEJ!vX_>TGh z_7!{EdTTthJWJebT#WOYbDDFp^MUgiV3wXaLtHjz1J@w;ANK(F8h1<2W`GdRc6M|s z9YH`VoME48tF|n&yfrVV?NWQRHo4|UrJ~|fDOdDA*IIo3r@TIS2XjkuAA))OV0MSR z5Bb^o`l8;Yeah;Wy)64x_Nuh3v~7j5@?+WV@{=`B%vO6Aygsgf`v=3Vvxdz4HRM~z zQ|WAMM%eYZZOK#X#3eL{B6Vl@nM5*`$M#3|8P)~8_rI>auWTxBt19xJAAUNrZRm2H zoj2O{mK`pMtp4fAk)2UJ(hk$KQJV1WcoVHY$Q5-e@j~6NiQgjMn9}w6s_Ak&o~nw| zG*K;4Sml?nGGsOWQ+?SuI`~*bWyFS%RKM4XCDLi85#5Yg&5q>KxrcN;U$~2Lyl`)# ziiCE^RQV;vaqPKllF*o!3qPd);1@_Kv`F|#4fg(Vzws`oTZ=7`%Xp@|9X=B|4UK{% zfcM--{()~uHQZR*N2C$4WCwaCdz;JTD%gcgIi10*=B5jCrOh(Cv_O2yKW4`;PZ*qQ z&2JQbikrcnox8GT@FjGQJW(}C6ReBZZP08`{Zd?%PnP!vz2O(sfYiz~fT3Fk5cp`> zQ0OH@K&#;YVDMZcx6#>{22a7;VwaF)I0X74+XLy4-RL`P6Mh~4fPa@el+!iyb*=TI zbgML1R6s$X*bCUnO1Vky#?GTYq&`}J?!kibh4=&fC(s>!#!ujV@d!K%i^dG-Wf+ET zN{yuTz|of}8wuq?o#4k{(*Tafq2EDu_k+*N-bo{+lVXmr9q0~A0WaPRFzsu&LwtY0 zzV8q=3MOG5{|O+T4Ou7sm|9Qf`i6R6fTy&%C(HB0I~1^JZ-_CZi_E09(x2&9bQih{ z6-t_YHvpd$@6L9{IK!Nyoi1mYE8ku2Y3Q3o@PvxoL-_e@?!R4iotJHXmPm8oTCzI7 zT3NHZ%3Fa|#FmAZEGynq)TdxX-j3WRx$|=U^IsS4D=sabQgWztU0Ku0$(D|;{oX;e zR@^FN(R+BAdPHbxT~Av_-v&MRHXRl>+HVWG0af_7h&@s7Si_;IY0-Cd4#3?&xKooF zb}Ozy)Or)8DMb6qh9LD+xw^k~Gk{@#iMd~iwV% zrSM$(1~&#|=eL4LA%)GQ?oc~{>aVk~6kz2!;5qUj+34T6 zMlnOVN>!lr;O)>kNHVe#sf(XdW&=#1KozRmsd%PXsk)?|q>0up)~r{FifpiBU?NtE zenPgwMGy-d<&>m_K0wpp%gDdz1N0Vp6AeTgBL~6miZ8MZ$qzWry8zFB2k9u-Z`DTD zAK>v`SvF9Pw?QDJ1zaVImF5azd_S%qcZM6oeP9o<>)AL~$DU-{aeD#cG!JlWm)YK| z6|l=o09!JOanT3pbh;zenoJ@OlUd|EY9{>!JikdyJLUzwkzPvQr8bja0eht**85;z ziZ93a(D%wa#hdQE?Y`-H>9)H&xkTrEXNmQE?P&9z+Ur%Vsyo%DRtHqhtg5O^C`&D= zTQa9Ox42DtYIQ{IsM=FC2P!`n=j1iXds`4zdbH-S+sQbDo#JZ|`0ADE0Ux7Br&?Mr zYIUUHhJ^K@0fw#Wo<=Iv5#1>ci59Oiiu+FN3JL+B z$X_c3&WT8j^G}G0DmHDio*Mf&B`+QaAX13#q-&^*!t1EhU?ph!XrqRUaZq~{lJ`+;llQ@U;jv&N*)!Ek?Oojy&0}SA zd^5C5I1cWx&7d#*CG->O^4GZgyq}Z{^+H|PS^;q><)nZkU+N`3Klax;I0{)f7&WVm?&Stjr zHE(K|n%5QnWkuzUD{9J?RLad8z{jV1zxif)Z+l?R5Lb$8nWqtPjtXL zk;cMmunp!NnyYjuJ?K{PI^Bl2MR}!EWm`jM)5pLAeoIw480UV0)wd_ubZIow4F3lo zfnER_mR0h2eaAp!ggkC;+@!Du`k81$zAdOvQ>A%$h^m8X0`3wA(`U#g%mMK_egG)8 z-)nxNZMpWIL-wl`*A2A>79`bS*WXG{9 zicX3L*kgE-w1t=R6NE9MD8|b6$$o;Zf8F8fU`A}KfpiA#bX7a~NT7*p40fb62OI&9 z#vplMds?oPE9(d&=rn92whH|lxek8>H>nnifsRYKK(jNLU^<8{XNL2;#ICZYP&%|x z_EMTA&656-!lmz`OE}ACZ~^=r;l6YdF2#I`V0Dyclm^nQ2A+l_)f>=*ZIz$E7lHf# z3c3o-Mz4TPK-1-G6g8kL?h0Jj=h0etIn)b$Ef=Lb(l#j*unKo&tAIA-7CH?eWz7{v z`7KP2K8K>g2ExvOtyMsupa;-S=pA$qDulFPUrbl@BpQtk1K(df+z^Ta`}gV!6ZpR1 z9>2<^ai#2f4&_x~E;uh}#jCe!;F>R?vCO;I0d4sMUr$Q?XEF#{h9 z?c>f}Kw`LD8BkRX2G_w!l8I9UPm8%ss&N(ZSD$CTP@HC^nN$m6o!%J%vZhWVP&cqZ5( z*`1#zmP1jP2k(lnM{;Ce0Ovmw+KrsXCW3i?64+lj0eEsLnHByUosCX`b<%B)ptk_k zW-2#MjDW@=*HIpAjqSlkVn0zobQ9b~)9zDKo%+&?P{} zvt1kkbPlJ)LZFL@kbL4v5f_t%ar^_WfNjmr1O7x6*O@!UZR92Zj;#lGn;pVN10MP# zvlHw-xddv!SfKPCL{a2*G6!@$eq=h?g}h3P2mJF=VhGT7KtuyyBY@pqb1b$xVA z^#lUl&Nku!`3tD7?hveRo$roso3ESii?7T##kZWePCcWyff?x{P~k0P2LnaKCHgwu z0I=Qbnbq`dDv?O>>~d4?e(ob~+S`wa_dW0ocCT_Au#UAnuN_zQvLd`{W7Uqzv(?3x z-?juNM*K_v1H2~h$=k#}vLU2591h!=_#$;<14I0&;6B<;$UW$gvPZz}s3j>Unh;HH zr1nUv7kS5Y*Dw<761bv6bR9IUm8QUm9m36c7G8_}gIt##7qoZgd55r%o1N^oH zUka}dyBzpcCt+8_w}8iA#CMb>pFjRG4pwxmLQORf)>!tWJuX^u>S&LeTa_mwWM!3Se@ zc#dota7GvLOTqr8Qn0aO2-t9YNBUQ~CxytK$SQzyEK>GZ(nx2-k0LC^Na;X-vq5YR z)O9MUh3qhN86fBv0e;*KIRTVuJK#QWGq@kJ6HUWD;q{d!6{`BIdZoGoTyyp0NAYu* z34e^Y1zYf5;6_k$UMRXN7b!-7?IN?#ayS5<1@(lw!F7-xNFFj29e{L(55bGzwa^>r z7JLzB6Dh2qLx<#l$Rf0ToFXP+O^;l#f)9%}FolO%_l%Q_CD= zvX~p}QuYEvF%7xTV8dby{yV>zKgI=e=YSXS2SbAYWmIi2z#O7@{xfPyfv*7?g_h^>S^oj;hgD4X;#GEvb27 z>17XczIDZUW_ec-A_cKGxOPHUFJ+mL~L!4UCWlt@ZoQ@WpV=SnfC8kJTMlEeBf%C*nCuhiGk#!b=_DRdBlepz5gVqm;PJ z-RZyS{%kh?UTi5<3Vz&vYMXD4H;_0<59iatGuuTn@;0i8ua{?pcM|oSzX=s!4;6vR z*(kLcJ_C0O#a7v<;q&oJ9z* zd*HQfxwKN8FZPkPf{lqc5Cl5`yrSK)Bj^!iF}wu&Bx@~u4n9u}Zu<}aj}pCsUPD)) zIDow8Ne!fV;(tPKAyQ~9tOhH^4}l60Ec*(uqWWkE-cjBckHjvc6Odof4`>5i31es} z)-j6K*^0GDl`$Bk1OQ|aV6d#TZ3$eZpmU~ z^Q0Y8ysV$>tZWk$0M1_=+yX}7htL!#6KKunNo~Q&S4nTAce369%NhZv!HuB3pzbaO z`}u%-6}%9sC8$p|Vg_)~r;8rJEZh+q3l;n)ekst5M)5GeiEG8R;OwAdn+q_}jf{$+ zfE#uly`7#3wBe@#D%zbqK(r>TJ|%EF zzA40c9|OE6Up-3q5P$(Za;W?a2xfNfGD50~mGjI$(LG?}d z$yjN;q93POuQ-8HV87yDpjRIYCj*q_A22_J%Njuk;0=faej)28)X>j;+dWr2LwqsR zMD_^(j32-?Wt>1u-=91|&*2t|PXMnbintKX%?8TX2#jO)NR2Mm#1s*=_Kr!y=gK-H=z+btUh(U2Ziz~#^kC;;$D z|3EJ2Fgy(*ke=u!bQ$O+39zfO3Fx{4;D4ZCFfVCkZt%H%z<#6?vQOZ?aL7K(Zpn7Z zX34I|Oh9ej0ceX@P#Da?Cqc}?T=Wil2`~d6fdb_NN}{I!YwxVvqsoG< zf2_OH@emRM1lQm?xZB|F9tL*_4#5ZaV8JE9-3GT|kl^m_5+ETh$L_ED-uE-S|Ag~& zjHJ8I-nCb)T2;HYCAX!bC9~zIvO~#jS#HrShuPg4ZX0ZUZ4oV(sWSZ}t&=WF2dO`A zs_a+7=|S;~9k0#klF&~4gZ?xt&1gn`82#U;3E!yPibuIV09P$Qce6rz6Eu$LdPd_9 zdc!|3v!E?x68<*3Q2AZQxUc`v59oQ>)I37ZrytN#&=IogfqFZB)_+*m26yz%k!jQFuOeHx=B?es8Dt_jy`5G>5~~aUXKVIfuFG zlhe3N-`xYQCay280q&~qFYcb~)wst@S&Ue!#iR5L`WKK9y;P6af9l)OG5qNcSxryS zGZ;14t9Ts_zFE&smv&uWsGrnNYgN=T>PU5?dyl)B7OWrAa#DeQ1hrmhqit~jDoFZ?+EZSU8c-<~Ab z`4#p1nIp5irk+h2ZX4rYFvK_Gh|q39p+2GJOXnD8buEWnHLzROQu)?LO^h0zH!$m* zki&jq_OG@MKCOM*`Y#WN3!EFYEqGLhQyJHU*U5A&Y(mHozkNzs;}i-@7Iz1IpLpD2 z_o?C&V{IpQ7iJo3*?YE7U#q{?daDOrW1WMYA?{MTQ|O|cvHoN8w-vBN%KwP<#kb@k z{OQ~GcWR|84~rv`tgMm*9dQQj+@HdhIQrA5jt>mplY?B_fcgI(ac zos@WTZ12>s4*TzQDVEfIX}jH4NeQRK#| zf$V>ns8-d==&AbOMkaHv=_8zEb#8&fE}$d96`?(PL2h9u(bo@gH}%+yD#hhRL}ag}S!7FkP!Ika zO{0dTD&6xRlIs)XQs|be@zj%1Jo1aP#YFKA9i6+2!J-9U7>MeZR~T>Rgjo(^A7f=> znz7RGMH)XlJ}L7t!)0c2E7A)<11;+S#<-Y3=DHafgn^ZQaFDx(BNj)$8tP zcJ~f<)uHmZl>4N+lzK|7rFGTHYDKkd=sp|NWyFUc-O28(s>`jpud1?sMK5ZcHL9|U z_y^}a?AL1Z+e@`DR^vo=`2VEqZf@fh`<@TzLHaArr7d7D);f+bvFY>m%*JwE&_nd@ zn%ljF-ChpYGuJuyE_XAxq%L#E(=+sz^D^y?MLj{1TBjxTd|WRkp?0CI2r0P3eBxkuHK2GSWjR^OVe2GMx{tAMnU>)m)%; z(e9A}EbH^xFK0j=|JU|&)(Y}4A(J`Hcnd-eQ75@>petFOi`*-Xhf<1VknN?_rqmHe z==+JLv#Y8WU?vK?#9QJhF-dGH$60dvjPdpLFYmw1x0!8(JW=R{hqO!glyINNzE%Bm z`2Y0nXD>jPs!?Ku365K&IYbO*|$)J9z=u1&Eh+D1@(sWU6F^;nLAAB zA$OFH7L+-5HRb+XR)KbnR4HU}5F!ypdkozBuXoQQldrjQyO+8`UFBT`T>G5IompKUokN_R=sb~^jIg50ObCO0GE9M5xWuUZrnHW8lCj>x}ULA&tYUX1I#!h z!DwhS)PHFi_4OdmDJ`$=!~Ik+3K(njA=D>qRqulme^Hfv79YNa{hPa8_lSYtvx76E z>ye|b;|6Nc&EMJ6x}@z$3#UucnY7Mn+vuaTIrV<(uGDF%7gLv~E=|dm(lm8RTDbe3 zxyZWPZ(iWzz|+3BrLJmo$Mm#Gu6XgA|B3Kjkp*)s&GtM~?T~@~e)hh$K0c>>*ZE%w z7#kQK)HC>WhFxKWB64Sri1?iGSx~sIsw@$o2v4LyYkm6=|7n4R0z>>0?UJoG^XsOI z{7!0&eYEQCSh~J%QkNL>#qvs9>ssqNWvjTx*r6Vv0)2wpqMg(n*R0wj_ghy@_Yt)i``5M`E~&+htA>%SdlUU$GLZ%3NWQ*hm_RryU@65f+#`&0E3}X^zs@deHXKmd*CbvRY{< z*CIQ_ITBProfa+&Kd1~nPW66Cc_7;10VxBzd1w7GYWWd&7WJX(tG6`*>BnLiw~hA3 zWBnNH@IF}m0X~&a-K&1mN*iO%!@_LwinyG7!75bKFrlQ-h#0P!FjF`xh~g@-wUm!4 zfxna*%4lT?Yj!?6CI1nNp{))O4}vUpm5XG(?#mAOgVd1S!3Cwp;&^!R0O1ZX>{cO% zcu_1Xg-KTFs5lO+xoGZYZ{Isu!z!VtP>&jjGtxt4v30NY4M(sASkAM)Q`lSFUH;or z&N|szogT&?Z6j^BY>TYlm1Ro2JQL3AFIE=|OV8v)@2}Mjrrmta}JM{R4$J$4&<0D5k=PKu3*FwD8AL<+R5EyVvJ)^#2$FYjf zi*@%|u)5vV`WF6jT5*UkGzLD)k}J)bsFtD`NV+GL8pUys$_>!poIV;Lv1?15Xf zHpb{pwRP$qRaF;gbM#h5qVdeQPOkiseujN`m)L`MM(yCP=n`C|(4ai~&R=OK*tNOB z-Igv?r<@Hyr6@ApDQOF-K>n0Az!B{Ho1N+>sqq}jMP||c!niE=@EPpe+NZWcHdD>x zx}=U0eQgT^re=JY>3sOj&^1AG$xo?9`)^?ECufB3eswW;W)AUbTV^ozgf-0^9%b&>8 zq*KB#W3b*vyUsZ~?dXd2OdF1h^@lk?xFx1Zr{#J|6J?nkEoDRhtZWwIM69CZpz>S7 zY(sqV+l#QXzrU@eHQcgJ?jbD_kHbV`%w=Xn;Rff*m~>rRWGSnh6gh=Q%j9n7N^;$I z*U~oX_1J}4z;NlO;O~8inTBfh=-81+Uz!t+-OkbOZrT@JF_-g9f0z%91I8UACo%UZ z;~XNfw~LIGwNlkakIL@ZD!QZDBF$ z%USkN7B&v+W5A;?^mI9FwP4-rPA)0ug&7mJgOKmdhWpCZr*h8iw23|SI ztYQZ9*V~MJ#%m+MtZlC3%(2$+(<1EQA0ZAGkHM~k#Cq(pOGUxWjIy*$m?37A^AU@; zB2V!j^=q%CpW-ACsXUpz^J1_xUtA~Fm6}MUq+UeDy@-nU3R#4r!a8A>a1r0!UCb?p z^B3Xlq8=hIR4Q09SWfX=p>k2qaOlmwl~RUU-dMU=A6na5ms&PSu0FY8N;^TuLh3O;lX zJ6jcO^sIIS4;`S_(5LCyP`v!mBGRydg2qI$?x)%Hs*tHq1Otv6-y@j2%$64KXsBP&6y4^vo_QdfY==HBTE%Y>L=jwyra)mXX$+gDmbo}L<>Rd-m z-w~hw!gbxfLG8g#*(dIL>SnE@F^3#RMOzT@Xb-uy@Im`iuOODQ{IJgrewA@h#tj+X z1m_PZWG_xWqPV@BukPpa?@lbNgs7p}!ncGU4ttQ{Qa~S{6N*)imcLkf*<<`S2i6TT z0#5k#vKO;Wv#i5YESJ8~Wqh3eP-{AUH3bP)tZR&%zj1>x>qdLoZ#eJt){+$ zQJ-ZzH?86gaW)y$LUgW+ks`?j%tg=diVD+R{6zl)$r@?U;6b0wNn!)?i3Sl}Bk6B5Mr#01SVo-mP@SdEGj6&ZwsePhJ_u}s~IPaa?F26vz+NL zh8U;yT=2fO>|}07jP(>BG1JV*J%2?P+hxvXw{tPGs2ODzWW7%z!e2uKyhi*b`oea-tTb_QZ;x{ouDn>_;82Kq!>9nL+b}6HzQ1tB6!X&Yzd`Q`6Sz-0D zt+U>?s7jPFNRE|;ieH5du$vr0k~mZ@p{U9T%QQuj?~3(>#ppgy@Q|fhGh@vCD9u$+ zvZ6TYFppW=7^@f8g4Jv8tM2dabLufIl-?g*QIGm-U-7qxIYr3DPWKwFT&@6@>?+}! z?0QQVlQYCB1>Gm|jvsW7%4uFg2 zBm&j?FqY`5wnRW&h@WZ+z?fezrfe-?u-uck~tf z;{*LdPGp!AIyb}lplJWb_P69!ds%zhI{VbMU$n2a``Rb@H1^5h)5KQWYO*(Z3faj{ zMn+?|;WEDo!?3xlVzTfIUX#dA2ZME`8RL4`!gze=EwhnO6PvlkSl%)lqs(j*Mf^~6 zsiu^ly6WvxaTL+ga$)&BKPw~cll zX&CcoGYLPKz^R`fIH9y0JiVqcLbypaO+9+@+@Y3c4~%>&Jv_HkLwOaAyAjIzU}-OV zm}W{lq<_eljwc6emp;M)MvKc(3~uq4&qcTRn2-1128GeX8;I5D1o}pF5wUmXuaAOh zR@ucf#L;tP49~bp+D8A8i;_+LDLo-}KQAqkMu9fR&>Chl5}sPVmb{Z~VvJaq=d-fA zXt>Z^_{52$m(6XQIvT@V3`EHuPbZQH^D-lz4Snn;HF}*{pSAT8XiGn}liF}Hg$1+} zbtgQ14*8oXY`%*+LTy9dwmqI}C|y4q^L2OhvT>a8vPrayaRrjlj>I8Kb-zRWg z#fP7Tty}1f^iz#sk3lyyw~5*cSi>3ZJpF`HSjkm+?J`8yajfYBWY=;TC7GjMM!2Ej zgM-m6Wuur;jx0k*SinMKE_tn^#yMv2AowuUXl69Vlk}&*%y~{B(~Y9=(86XW82U#P zwFAZ>=JhXD^Jrs+v6|nx%nU!pHm@4Hc%HrR`GuTk)t^U#u?eMcn{fmW^B7AwZ7k)? z)3}O>aWv1W8OYH@$V6ZlXz8g6;J1k#SQ$V?= z>Joa+^ryGXQmS3%qr2uea+sIQ=A2J9m&|wtsR!}rOJ+T@bWQxkxpWKUoJue`qGant z+b3I^?Y`}_ZMkiLt%q%_?V_!^&uO2&c1}n2E$bKWmz5JdmiguPyXD)N9&;1yRbkwX z?KA9`?4qyL_lA8CTed=c8d6F2!BWf;uhdZ@l&|t>l$aCpM6{xF(je&-9j49*t?5Ja zfYpD6YTz6~4WS45`dX~N>|RvZhbq$)FWHB6(HNfnH_ZDVYILF*>!N0unZxWvg~D~t zMGg|mutvI}>Y0pcSj1>n@HigbnepPrce>-Z&?E6rh0%sO@!duJoc=~H z%rm<6&w8Md)5u{|#H+8zTF4w5A!c#2g7+!}yA32uNy-bTxm0F zG_#xu%&aRHXP;Gs?B*v{GEP}IU$!AXZ6kM)>(E7LDDlY*vR{Yff8=+vO|dG$N+u;) z-Ydt*)nvPz1PYu4bB9QMspse-AP>hkhO>BFGSZfB1x|!~;|Ij|6 zQ;pU7YqN>h8);RvGFqsn;Jcry7u0>6``4St9CfsJwZqon!L`&d)!}~Qe(ScgU*R(+ z03LE5bRT!Wa68>@cc@x}x~M7YHmWav6H&*&qQ8(=s)V;ar~ivi@=Gtm%+-LSuVH1s zHL?;-<}!79d`XHrr#rgUkVDbF&8a;mI7N;sx%* zGk+K^>?Xp@XqMoe6eW*x3)I*OA02H>;JN2xwF|+XCB_2mwgK^@zi|U?a4Bd}20Z;r ztTGQ=sHmk!UR8$M81=JjBL-oAc5~i|+6ABB$Z)Ok`_J<9|;Um_I=g@8grDk-S z>LmN2w=}mrwe)3A$~&uR%|Zp9-4<-CX6UfFXR!UAJtMW!|M(_S@3GLgsP7^B z8ul0Du)ByED%i8z3)}PB-}!9z`P1j9t(a|rbt`9Rw&O(OLFl%@@+I<3o)g{-G~;>r z*qqe8jTagSb*aj$!#eIk%o+oqtqU>~rMl+}=WwS`y>WsS9ctb)zR=}r7kkt$p}8#q zbzd=VoKkEqWbMB;Uz%rN6|d2CkDE8BR6PTiUd2q#X9f$KCTsH~BiS6p4$BKh*c%^F2g|IFy);MvzNUZBt$2j)#O3{YG&dUI18TwJ@=yuY1-x%> zbjH8+;Nu_=pc7a#3WQk(*L+S)Xfd-h^ZDS7Ma^2?C@`A&A7V~s-S0HFQwfr2?j>s6 z1c%sc9wh!f3__gay3bud;Ph_K$>qMBAUa%FLOgzquAybgL$?)&iHqR;N5p4nY<8mX zj`-|@(qZY0^hwgt=-){nr7uz%dV7i_d9ffDOsXnZ3%R4*NggZ5%ZovTCUSl`qnw?` z5B7Rof)T8h;?W4ENd2Xr#P*Hw7cTJ?al~j|wFn2H{{RP$3P*%nLK1kei1})cwiqm2 zXWzywP%fHP)E_mYHJ@8>=N5WKeZ`g+8WS1A`XFj))?W}iJb$Bp{HL!cYh4#kkX?7+ zsXuE!w2yTC`c6l(B<(1kY&2E-(cnU1tvKDv!nLfLMf=c}{T8C*-$O`yef z6tcYZ3+xV-jHYwhdZOr)Fo5^e%H<=o+(#eHin+4yUrd zb{hX;RcS^ricd7Lz#Qx+0cNw8Ia-6-vcR0m&l0e=ReU_b=he((Jl>`!=od-ER*gpi zvp(O|pkG`MBEGVG6+|`mW$?j|->C!wY{COR;rw`s>VsWyr7rw_ZahUXUbivhxF289 z3h!|R7TLnc0*n33c>UC!dS2{qHVk4XJYpVpR|F*Zj1qX5J9~;P|A*#q30&w(PrChD zYchfF$nVUcV)UwNP!AWSmDb8@5!zdNr$%TUwe4DU^71#x@Kg{oh_T`Za-2V?VV#e4 zy~WQAVYly3`7}M_M_Pm72660)C}ERryR7tcvmUo*uy9-Z7>%CHhwWLKYa=Vm<_`m3{LlEHBAShN1?@yg>8m{r>5~4 z=JncW&bnVn1yg_!L48Od>*y)FHI6Wsdw3jxZ7*YP$Aiy-jPew+D#`j;{eu3Ce3z;h zVy$;Fq8Z<^C^iQ{hWzZ2%b{n}L;2Vctk{TtF-GsJ58(O>w5SMji~>jgMbjw+PV_Q{ z8-0y#U`H2FVI&B?o>30Lht$SemVyD}u%R{B(>Qp}61+_!c<>K)amBm}e*FtaILtde z2d<@>h8ZkmqlPVth$9D&YC>^1LTkM765#@;+Lsplg6G@d`4Q+7QAGH$(j><792`DX z3WW<~Mgz<*hs%C=`an4+IzSb)GU-#5_L^bwdyj?6~R+ISqfxd~%V>5WKjguXn)O6iP z7rR7d(0+2#UA4NPfrJge1qc3N?VnbUfd~7@e5~Y3RL9aO)Jk<&akVZvfI;d;_Wfjm zF_+RBX!W&*)MTCjX*k^++-XR5Y@xmjCE&WAOqRPWaawIKp*P6h8|FU=>zIPS*+V4f zQC-X6%lmR4ld-UM+|fc5;`Ly|9PeC?Vs`uD>D$328sX(@fPzh60u5odHDE;wHQryq zn9F#v&(sTKhbvTO&36VBhGWq!@Dv5;b{7E_MDnpBua}dN>cMYSf>o5H%cWxeCbk`e z$7w=T8H$Cz;d%+bdZ2>1Dzm&QOUn6%=Sr1x`Nl6RFy5VxcSG;c9If_}B_G{RmI$ zLycZ8wG`DFZgr~`r_Z6Ygq2FD4%DxIB62tgQ&pH6eIBzD zJI)$p9b&np&<9xAFHdDhVK4flmnFY@lsME^T7hm=OngiIhsUZmQ7dwqo}Y2TSTa}z zsN4Ero`N@f)`o-Zc2g>t>5L(~V%3agyozC2e)#?F=4fy{0e|X%OMGHAT?17v5NY3s zE0|^=&lE`XaTT8&ZECQ>enf$(=sK^-??f6^@eI@OUgP-O0o%+7>sMLhzo>31img;6 zitzaTo;(_`?*GEe^~GLRfYRfQzu~{bjCOE_B1FOASaxPSU@dSVj_B_W93hHz&vyt%=s>!xGkTn(lqh5I>C)m;+89Mc3c{pu>DrgZFR*9c?okh~SS7 zVCQ2J|JRG$egiUfJK+=urTgq-4kgC$Wo|6;M^NP&JmW37`8#}d9oDgwJp4c@P+Edo z(g`%Oz!QE70=tfHlOfE#)WDq;xCuLTw|6g)V>3jS#n@_P6#c%)%wKh}P4=CVEByf{Cv%9?G! z>aPbD^a2Y)xib&v{|*AU*g=@p48cMh@Y{9yo6g`xRTSU0%=Z!VJUsS3x{w?DcA9CN z9CMqWt^p;AnX>8W_4?9q7(tAb%vF?UD9bB!;8|M2COR{kL*Wtqc=cYyGu`-UE4WEk zGRE)7Hyj~ii9wU9Ol&3^H@VvwkRlwt`nz^lTSeE^#TsYHYjd?_d_7$2O~q_Y_UHUi z1Bo$)qNr>md-mC&^QaKXoW~PMT<5W!onIsAxod;xKaqOLVeBi*Pj9YF>{st?9Y}|- zfz~1LhQ0Lm`hyN2MSRxyB>5=zD7)!%%jc5MTsn^2wym<&w`HN@SES9f2H8pzgB;{< zs#&*NQkB1zvYbrc3|mYh`t5`*mz1(myKJCzClMvLqMFiR4eY}Q4J4N6D6|7F8lV^C zW`+F0$2>wsR*fWRrkxlA1ENP{5H(~Gs0bP9?qnzXl~c&d*E)FNU7hFsk1~oVcaFXQy&zHFf;USf`#{wkcJz+= zj&SU`h*8F<3qR-!b_@W^2NF9>=bDW=6c4wX3VO^ZmPkNNdSKjyJ^VHb!>h-$UM^w{ zFNu!6fM(}-U#E$Xx`K2Q;hbG~hXcU8m0-zLv=TQQs1*17htQG{>48`7#r~;iVY)CI zCG%g_se?Ie$_aYY@ag-ga{WitsiXZaW|nFZS&Shb*o*g1q95E(s*T*zH|F-LbQcWx zAU)&Zl1xc~S9q934mnJIBb}0t5$m;;YLN4+Nw%a2-8(9gt*FVPjFd}CrE*{^uh)VM zrA-uJ5D$bq)ZTsuCElZ1-6FTJkba#5g(l2ZIPC2^%884ay34)Z1i@pu&mP=!T_WaO zRIC4DJv;?3b`fU`#&1_5*Y+7Me2BH&k2IJ$QY#2jRDgfzB}Gyy**b1i1p z4#QD5c-d(~?k$YF^C3DY&tFw#CCA_smcluf!eHkz4%1*$%Rq(|eB8Y!xAROm9$IM?~om}AiE!0Gf5jum-jfuUhqCeFjB5!~)SA)OL z#D3@7u(zp~AV<&1H324q7H!#)Ssme;0Q2XT^U2X?$RP|i?iYT(W0N`0t&nZ~0NUazziD&0eEYlk0m z2@mP1u@_s6qYkt&<53^(;Ui=KBQk&&5uk+=l)g!X7)MM}hxn~3^I8Wy??)Wmm$fxQ5p-S`v-A8~e&B2zLaFHEolP7tl zd+3wN%$`K7S)5m|gWoy=*Vsy{r99u*jy1bhfZ=+_QmHw0r~`&cuNJs z9Udx?NiuncEOHRDAQPF$eD+5#4&W!D)Ka{a{*yLPIaLvKzb{^(H+q726fbiCR(eES zBK8wIixovpcqZI{Tg(#vMok(3$7t`hVU?T@b8hR6YtQ250Jl~gKAJ*_oMFhKK8prn?(E&#r_t5&4uc&vm3=! zL-C7N9-{V1eGP&fWnc4FFNR!$ZJ4N#eNiMl*@!z{wD_U9sW2?U+Gs{xIhvKSoR0F_ z@gCQB)hEnp2&{4(4F3|VEF1(V!^nHEVLWqlkePbLo!IeD83fh*!QI^@qUwQtMSw9? z&>q^sB;&z_Sbl#2XfYpNnTT#~6Uvjnn#Fp*fL&igzgf+gbs;8)hM!B6ZyIg z9wQv($%#sFh1LJj{0$3H@RN4-sp_z|_q^&+km?S&d>5_iE_~%SnRJgPvJWmjnO7f# z6&1wlJ*y(S`5rZR7;#l@!=ZnJO*wUm>YJX%94vGJHaZw9cQWeziKH{Ji}L`uv6ESc+P)%KyDDF>cFLK~pbAk9X&`?GaeMXU)iophR<55b88N_TTj3LrOsROv- zRAMcWFo@pP>ed3*7nYgSsLTL4_E~J!DOP{_ktNw&Hb3&ENpO;ywq&}9CR#UI&sd*W zUvkP{Ww^*$YcH#hb+qL!dq|d{TAYxkqnl=vJpWXBKYZtLib|R-oS5+kF|m#O_<3TM zN%-AVMx-;Eabea}DPohsV8t`1o8lJz|s|tA3i8;iW?ZJyGjAAuV zWCodnRd~L8aKjCF`Wi%m2Vo82#%rRLgZQ~6`W_;`OXxt~bP=?O(i$A70g4nL zdig?pn1Tj&mT2*neiyYPGyhZ88@Wti7B-Wsy1`y)nW(cgjIu8FR1Q@?lGx}2jMGjo zy9D?!8B2T!YX34VaH(XN(l_G#1H7}fSlnN5k;PcxB=F)ixy`p&tPIC$C`=%8`Y(Q- zieRb|>Ju$Qivz_m)EBKHLb*%Fj1tmcL?9br98Xa%{lNtXyQXc#943CGnt>X+R2qj0l23X^#$*lq+A0%E28wTl``GoR&lAjvPxEBT)qtJ~q;*|?9 zr-k?`0A_uMH9MNMn;Bg(m6iMj41diSpJR3Jgay*G3MNuSe}O$;XP4RnICea`{b)X~ z<*UV9E5Mnx=$>&zBP*x?n*r)9<*}LAJ%tK~NSsD`a9si$lK9;paLg>|_w8ZZ!^jWC!!%a%xgS5P z1Cm&X%cF$S#Hh_cnDJoF24MyH?QZ1oa#M@_5bU^uRWHK7Ea%ymGUC%gt)Y0x(nL4^ zVwX#d4dm<=k}KUn>>LAY9zsN3o2wV!4TUQwf-m#E+11Wu%owa}=)YVj(Gk9V!py zj>-jgZZ)H8K^0CzE^G<2xRn4)Eeoe@!%Hey|FSN(o}&NoOlw!Gr^nuX%Sp?>mK&B& z^#2O9YL--XtFN}ypvzoi<*Gb_n!M*^?>kEMvC}lFaGWUZ$I)GO;@kcfC-VCFu-O!N z&?a!C0m`2bIT4R;;fV@W)C&nLHcfvtj9<*pD`w~vSL+Y&=1~Jg9F)m0v;}GZnwI9!>Ks48sY-C}>1s z+nJ55u)J*GxIsL=86DJfg7|h6=<6sWc~DYY;=NX*`M*NnD$AN043_O7&Y#O#8bfT- z11s#v8k+=0Z1!5)2jVO%IAU-|0+AU<4&!?~+RkrOkN5bWyZqig?o7h#6{QProN!RM zC+OZidTrqWU8zrA1d1$z8C-xZq>vdAsmQ7!RgqdzSN1n~jYP7g`=rxo8V5j^E7Ap` znETQr_CbA=p7WF4V8?i=8i@Xi9P1lq;~ISdUNbMrD61!^!B`LH$Rxf*&DcZqG!Sn( zg3jDsz_lJ&ep|S64R}#Od~*oCQsbRJ!@AFbf{VQ>;R@E^47_z57}+1M?qPsAz}67v z!Gbp6fK|(8GRns)l%r@?byjMKJ+pEG&v=NByHO+lW@biW&(+v<7X~-^MaJY6h_a8$ zqsep=nofk?m#k_FG(H`5BO_UpGVF|90H$2m!pXN(BbPmZZg2ziXyVlQJl{I~2(NHQ zx3P9=dUK8&sh5hRawrD~R~rvhg1M}X%~S^)qj~QhePIeKb|A<}k9{J9L!iNJEblk= z`2kk!nZXq1P$quM#6xHHOwb|?Jb4ReNHsHH)0G*y6;zBb0x=e|3VVPfwNShL(dF3T zk9BA9YTjj0xmpW-;C3~5w1JJc<#S<1I)waFFwDfwF0;INnLv1-uka8g@nir!nSnOg zpl7_7GaHw%#IxArK=hW%tcoY_ti7y(J!nm*z;KW5v>jF$hu+s1^}Z@I@CA>0ofzIz zq0ve&%FfE1day3B8>%{cGyX;Kk3-Sv&ur%=%3duz=cKK0F-j~>)k7!Hqyt&>)l}w4 zFynht3;DiWnSML#mCaPdj!_0EBdEad&*LCmrG%xerI%$2@y!v-Y(7u2RI?b0n~o`I zN+vqPcH`<`sc8wY98zj4&*kYTa~n9ztCS>5N$}${yv}YuK4BGjYK897MPWM`ylvtH zyr4yV2=5!rxH-U)`;5j_l!O#!#4tt1JeoT0AKjVF# z>X&y!Bxe}+ZRj1-&^|_j>jOdaA!JWR;?ELLNiQ)c2K6?LL5`Vtu_gFoPgcGm-Z?Yx z<~u5!lU!>EF-;Ya#S?dVG}Ab2@*p;F3g2=E{J4gCKrX(lGi~~o`f+HunHcBhNmg!_h zhDjsonm-=xt0fgvnWYfv8x_`jVTH3`qZ`@BwHz&d72hwV9;+$7CQ!Tv8;Ju&YGSz| zj5+0g=sF%&{~boR>2!}LQ>Z&dT_n084Ec7m9=yOZ@UUa9OlKEt}uR2esT!kd8*;Y!Lo*!Bbcvp-r4at-%vMl z2;R~f)g;JxOw_*|wYd+O>iS$&Ibo>`bqGzEjsJ)Un}JUyU`YRghuy)-O?aTKu-IX| z$2M@P1mUI7iYR2ER8Br3C(8j!7R3q9B+0wvRrDYV=A`A`%3~$3r92qY)>5By77EZm z)JfO-Q_43b!cqZT8ET2PG=j)o!UWM)e6F~2u{$bwzgW2Rd2f1BW$&l$5%U{5?_(hybY zHArxQz2PfR@E%ZK=UL5BTv^e~{kS}QRReS0qh!n?<5G(3{d+1pPoum(*6lEpKViR~ zzdqxwr+Wa5eh?>&6i~NkAf+)Sq+onitDJ^Ni~A-!F{mDc|x;^|%7)i&=;C7F+yrMIl>_gs(g2KQLQ zp2)>hU!>C&EHgdEgSh;OR5Vt#6+Yp~w&w=}io&`d@Y@f#UVuaje54*77^X0%@zmz8 zT<$t=sQd;A2C!uGrX0Of~Fl8cfP$yVUNw{JZNaKl+ zB6!6x5a=xyw2$$Bh5fj}p*+M$S-kI0VD6sr-tS;}@426!pkgxj<9T=cVKg4^c9dB5 zHU9b|KR?S#8;UnDQCMRb$0~4l9d$aHT1S-{ScmuHSN$rnX)H5SlYK1`_4Bz=ZpRW~ zzF<#s9Nx7H*qR5m?=UCOID}-p;sfI74`dnpQ(Igc|M#6P0iCHA=qR_NCsq?G3Z}@1 zSS+GJE+BkpsD2WGRp7Z@kKO|op|+qtUr&BJVLg2CVr+RE7zm2 zm&9^Cxz)9-SdT|_^YIpcbq4Gi!tad$pS#0iYN5?Ups9E|jyxqlf1ixPT~O%-k4xCx z3Vd68ayC9xiahq_avtMBC46cyxyf9_JqxjXVcNhzW6{N?Q`J41JbN@M zNp-0>RUMtl`cD48`mZ(AgHMOcG=)``Ab(>gCi+ch>D$zz9|Ut&@|=UvdppCDDuOd6 z)$!+fGU0STskeByt! zppn{CzS>Q^^NxzL6fFa6##6tH{xSq&1*$W!k#7>t?At89Y3EbubY zvECfqRAUi-!&CKLn0MI?1~Zw6)>8{M3LNy*gI#7_zJalMa)}0ab`y(Sg)dp+jr$U? z%h%j(c4ET@WMyi3b&=ZWuAUrA9jv+=_}qgrTSS(|!%F_6_WuT}?1k`%DEBopdY$pT zMqccqr&}aAvJxG4JjgSPsA(tJlB10NVIFIFmaaT&5%44!JPGA02z&7;F*V^oIr-iP zmg7-!Ucs1t!}}F}GYg#GN`#gIa=Zjzp7D;qV`Zt_&2MbY!^J$7`Gfy`4B}kIHh17f zCgab?Fn{BDj3(1O5Z~FA)l>}6aGN=sMg&!pJaZ)?*$?=I4eUv8Nz@cUKJH)UXQNl& zy{ifE%ZYf7j*N2~UUw2B5X=dXW$Ljc*ZFMNaY##5=5nbjQSTZQhRoCwuBD_o3G$2i%_*z5dod%&VSLVE(*q! ziT~3kIXV%N4b8Fuh~%l7^Hh{1vx_^zoB6f~&-u$(R2k2SvPJOvS$Pk+@%x_o zq&nPBTi)|gEXFi*VOOZ3_F1qQ-eAIM5>6!9wfb| zj-?|zSghg;EIok;b_a}p6R&lI_2BuqgIAsc_Eh)c%u6b>qL~kq*k>c7G>PiFOGKN# zM2P*p^>%TL*L=9#pP+tbYBKH-^)I1%rwYoJMr?D1o+$f>b50TWKO|@G)P0GZg3-v{ zgZ^jXGZTnu8t6jJ9CRB- z&%L=QI_p5DX{cjE;YOW!3}+2(C)>Q6zuN$YB@i*JLGg*><5r$6S@5M#NM>SUCzSv{ ziM#163*Mb!#xG%01@LDjuz)ARRVu;u@v~o^pioQ${f6G*YqT^e(#pv;6`V9-mfq%uS4OGl%#@-(v1?c`YbZ_a#vM{emqGT$@V8{0|} zWrZJH5TrB6Tj**|71+75)m9F zC)EJeXBz9)GgC6BTx@3@BoK8TBI|rZuL+l)gAL^c&+^k9unKnZnv7aw`i*{s%WejZ zcB04hK%1FHzHS`rq#L&T)Tn?e^n(aT5-yM_*4PKQn#$xPM)wCcy&i2oKPs_=wadqp+Ke?&7EiBd1&+a7V<0a13qw^yON zjwc>!OFkk8=)tBJdY}~}-XG%4&e zcg15IX6H~d#_|Q27tGG(0PwmeOr?;i!kbp(Mb5Dw!BZvHobhkS=R$lOLxs>vxJ)4` z?RN2d?+uYyyC@ix9Z!)5B$`1~=p;Id5b}X79!)S1_TY!M8bM4_6ue(RCiy#aRGu*% zMl{e1eY_L-&j9fYv$7l9=tNyfZ+M_5UYddpZb4_;%j&&Ow&gRK`%56gY#6M^^8Db3 z3b9x=cuFgpggOBG`NodXeC$FfEaoS}n+!wR4{~+ow}YuDd<`@4_-iY1 zZXV{XJ^W}j*l?c;jw95-{DGgoiHBSZ_YcHlHTI%i9lmM>Z~acEgIMa`H=_RK5P$P7 zxAV6NyzhEEUlP9W4d<)OrP?8k*S-sy72}=eV$@@J4`X3iAFz^kWDf^`-6>{%7(z6W zU{NBKlH>)-afi9E^q)j-pUhBjb1BH?VK&v^V~?n+xXhXD(Qx=9-0K8TyA*oYH%7lO z>#;e{-~+y$+wZa2bV5VE*2c~16BZ>7o;;kc@!}7!yOZn~p&^>R%(i$l z1zN>&s#B-4qqR92c|GEYo_xO%rTPHTN({fdgG|_Va$yPJ*9qd66V$N2rtW+nHHNV~ z-zc#gujWzL>xm^rAMq9$q2s~{=4~V1#lr?hU>o&$x1nf;@9_)=@TiBpn!s(w%r1$`A+HHunTV=1n(Sf&TNHN3?aW>;r+{jswk)_NrK zToD9r%3NnBF1W+YRO0(e%vBL~gXRTaf_NVydbmhD^}iXU zFy2#M@9xMdc(NwEv-+&fYW%*ZKG4eVa+`QJKmOJaE!Xq+D);1h76CqQJ%@X?b&3gY_!ero4`|KDZhcmMaVy-$(;A$ Izl^~D08*FB@c;k- literal 0 HcmV?d00001 diff --git a/Taranis-Voicebot.sh b/Taranis-Voicebot.sh index a8c0a0e..676033c 100755 --- a/Taranis-Voicebot.sh +++ b/Taranis-Voicebot.sh @@ -1,11 +1,13 @@ #!/bin/bash -echo "Creating folders..." +echo -n "Creating folders..." mkdir -p SOUNDS/en/SYSTEM -echo "Done!" +echo "Done" echo "Generating sounds..." -while IFS=';' read location filename words -do - say --file-format=WAVE --data-format=LEI16@32000 --channels=1 -v Daniel -o "$location/$filename" "$words" - echo "Generating $location/$filename..." -done < $1 -echo "Done!" \ No newline at end of file +IFS=';' +while read location filename words + do + echo -n "Generating $location/$filename..." + say --file-format=WAVE --data-format=LEI16@32000 --channels=1 -v Daniel -o "$location/$filename" "$words" + echo "Done" + done < $1 +echo "All finished!" \ No newline at end of file